, Etude de variabilité du procédé de fabrication de l'eSTM, Chapitre, vol.3

I. De-variabilité-:-méthodologie and .. .. , , vol.74, p.1

. .. Méthodologie,

L. Résultats-d'endurance and .. .. ,

L. and .. .. ,

L. and .. .. ,

. .. La-grille-flottante, , vol.87

L. and .. .. ,

D. Sommaire, Développement et mise en place de la boucle de régulation, Chapitre, vol.4, p.99

I. , Amélioration de la rugosité de la ligne "la grille mémoire"

L. .. , 100 2. L'impact de la nouvelle recette de gravure : tétrafluorure de carbone

, Etude du développement et de la mise en place d'une boucle de régulation, vol.105, p.1

. .. Méthodologie, 106 2. Boucle de compensation entre la tranchée du transistor de sélection et le transistor mémoire

. Iii, impact du procédé de fabrication sur les performances de l'eSTM, vol.120, p.1

L. and .. .. ,

L. De-régulation and .. .. ,

G. E. Moore, Cramming more components onto integrated circuits, IEEE Solid-State Circuits Newsl, vol.38, issue.8, pp.33-35, 1965.

A. G. Dingwall and R. E. Strieker, High density COS/MOS 1024 bit static RAM, 1974 International Electron Devices Meeting (IEDM), pp.101-103, 1974.

A. C. Dumbri and W. Rosenzweig, Static RAMs with microwatt data retention capability, IEEE J. Solid-State Circuits, vol.15, issue.5, pp.826-831, 1980.

W. Sander, J. Early, and T. Longo, A 4096 x 1 (I3L) bipolar dynamic RAM, 1976 IEEE International Solid-State Circuits Conference, vol.XIX, pp.182-183, 1976.

D. Kahng and S. M. Sze, A Floating Gate and Its Application to Memory Devices, Bell Syst. Tech. J, vol.46, issue.6, pp.1288-1295, 1967.

D. Frohman-bentchkowsky, MEMORY BEHAVIOR IN A FLOATING-GATE AVALANCHE-INJECTION MOS (FAMOS) STRUCTURE, vol.18, p.332, 1971.

Y. Chan, A 4K CMOS erasable PROM, IEEE J. Solid-State Circuits, vol.13, issue.5, pp.677-680, 1978.

T. Hagiwara, Y. Yatsuda, R. Kondo, S. Minami, T. Aoto et al., A 16 kbit electrically erasable PROM using n-channel Si-gate MNOS technology, IEEE J. Solid-State Circuits, vol.15, issue.3, pp.346-353, 1980.

E. Harari, L. Schmitz, B. Troutman, and S. Wang, A 256-bit nonvolatile static RAM, 1978 IEEE International Solid-State Circuits Conference, vol.XXI, pp.108-109, 1978.

F. Masuoka, M. Asano, H. Iwahashi, T. Komuro, and S. Tanaka, A new flash E 2 PROM cell using triple polysilicon technology, 1984 International Electron Devices Meeting, vol.30, pp.464-467, 1984.

G. Samachisa, C. Su, Y. Kao, G. Smarandoiu, T. T. Wong et al., A 128K flash EEPROM using double polysilicon technology, 1987 IEEE International Solid-State Circuits Conference, vol.XXX, pp.76-77, 1987.

P. Cappelletti, C. Golla, P. Olivo, E. Zanoni, and F. Memories, , 1999.

R. H. Fowler and L. Nordheim, Electron Emission in Intense Electric Fields, Proc. R. Soc. A Math. Phys. Eng. Sci, vol.119, issue.781, pp.173-181, 1928.

E. Takeda, Y. Nakagome, H. Kume, and S. Asai, New hot-carrier injection and device degradation in submicron MOSFETs, IEE Proc. I Solid State Electron Devices, vol.130, issue.3, p.144, 1983.

A. El-amine, , p.133

C. Chang, M. Liang, C. Hu, and R. W. Brodersen, Carrier tunneling related phenomena in thin oxide MOSFET's, 1983 International Electron Devices Meeting, vol.29, pp.194-197, 1983.

T. H. Ning, Hot-electron emission from silicon into silicon dioxide, Solid. State. Electron, vol.21, issue.1, pp.273-282, 1978.

E. Takeda, Y. Ohji, and H. Kume, High field effects in MOSFETS, 1985 International Electron Devices Meeting, vol.31, pp.60-63, 1985.

C. Hu, S. C. Simon, C. Tam, F. Hsu, P. Ko et al., Hot-Electron-Induced MOSFET Degradation -Model, Monitor, and Improvement, IEEE J. Solid-State Circuits, vol.20, issue.1, pp.295-305, 1985.

S. S. Tam, P. Ko, and C. Hu, Lucky-electron model of channel hot-electron injection in MOSFET'S, IEEE Trans. Electron Devices, vol.31, issue.9, pp.1116-1125, 1984.

H. Hidaka, Evolution of embedded flash memory technology for MCU, 2011 IEEE International Conference on IC Design & Technology, pp.1-4, 2011.

K. Baker, Embedded Nonvolatile Memories: A Key Enabler for Distributed Intelligence, 2012 4th IEEE International Memory Workshop, pp.1-4, 2012.

K. Naruke, S. Taguchi, and M. Wada, Stress induced leakage current limiting to scale down EEPROM tunnel oxide thickness, International Electron Devices Meeting, pp.424-427, 1988.

L. D. Yau, Simple I/V model for short-channel i.g.f.e.t.s in the triode region, Electron. Lett, vol.11, issue.2, p.44, 1975.

J. R. Brews, W. Fichtner, E. H. Nicollian, and S. M. Sze, Generalized guide for MOSFET miniaturization, IEEE Electron Device Lett, vol.1, issue.1, pp.2-4, 1980.

W. Fichtner, E. N. Fuls, R. L. Johnston, T. T. Sheng, and R. K. Watts, Experimental and theoretical characterization of submicron MOSFETs, 1980 International Electron Devices Meeting, vol.26, pp.24-27, 1980.

M. Fukuma and M. Matsumura, A simple model for short channel MOSFET's, Proc. IEEE, vol.65, pp.1212-1213, 1977.

J. R. Anderson, Ferroelectric materials as storage elements for digital computers and switching systems, Trans. Am. Inst. Electr. Eng. Part I Commun. Electron, vol.71, issue.6, pp.395-401, 1953.

J. Kim, D. J. Jung, S. K. Kang, Y. M. Kang, H. H. Kim et al.,

. Kim, Manufacturing Technologies for a Highly Reliable, 0.34 ?m2 Cell, 64 Mb, and 1T1C FRAM, 2006 International Electron Devices Meeting, pp.1-4, 2006.

A. Pohm, C. Sie, R. Uttecht, V. Kao, and O. , Chalcogenide glass bistable resistivity (Ovonic) memories, IEEE Trans. Magn, vol.6, issue.3, pp.592-592, 1970.

L. Goux, T. Gille, D. Castro, G. A. Hurkx, J. G. Lisoni et al.,

K. Gravesteijn, K. Meyer, D. J. Attenborough, and . Wouters, Evidence of the Prominent Role of the Time-Under-Melt Parameter in the Reset Switching of Phase-Change Line Cells, 2008 Joint Non-Volatile Semiconductor Memory Workshop and International Conference on Memory Technology and Design, pp.37-38, 2008.

S. Lai, Current status of the phase change memory and its future, IEEE International Electron Devices Meeting, 2003.

D. Ha and K. Kim, 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), pp.1-4, 2007.

R. Bez, Chalcogenide PCM: a memory technology for next decade, 2009 IEEE International Electron Devices Meeting (IEDM, pp.1-4, 2009.

M. Durlam, P. Naji, M. Deherrera, S. Tehrani, G. Kerszykowski et al., Nonvolatile RAM based on magnetic tunnel junction elements, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp.130-131, 2000.

T. Endoh, STT-MRAM for low power systems, 2015 International Symposium on VLSI Technology, Systems and Applications, pp.1-2, 2015.

M. Durlam, Y. Chung, M. Deherrera, and B. ,

G. Engel, B. Grynkewich, B. Martino, J. Nguyen, P. Salter et al., MRAM Memory for Embedded and Stand Alone Systems, 2007 IEEE International Conference on Integrated Circuit Design and Technology, pp.1-4, 2007.

S. Seo, M. J. Lee, D. H. Seo, E. J. Jeoung, D. Suh et al., Reproducible resistance switching in polycrystalline NiO films, Appl. Phys. Lett, vol.85, issue.23, p.5655, 2004.

C. Rohde, B. J. Choi, D. S. Jeong, S. Choi, J. Zhao et al., Identification of a determining parameter for resistive switching of TiO[sub 2] thin films, Appl. Phys. Lett, vol.86, issue.26, p.262907, 2005.

T. W. Hickmott, Low-Frequency Negative Resistance in Thin Anodic Oxide Films, J. Appl. Phys, vol.33, issue.9, p.2669, 1962.

B. Prince, Vertical 3D memory technologies

G. Tao, H. Chauveau, D. Boter, E. Van-der, D. Vegt et al., Characterization and modeling of program/erase induced device degradation in 2T-FNFN-NOR flash memories, 2008 15th International Symposium on the Physical and Failure Analysis of Integrated Circuits, pp.1-5, 2008.

Y. K. Lee, J. H. Moon, Y. H. Kim, M. Chun, S. Ha et al., 2T-FN eNVM with 90 nm Logic Process for Smart Card, Memory Technology and Design, pp.26-27, 2008.

M. Van-duuren, R. Van-schaijk, M. Slotboom, P. Tello, P. Goarin et al., Performance and Reliability of 2-Transistor FN/FN Flash Arrays with Hafnium Based High-K Inter-Poly Dielectrics for Embedded NVM, 2006 21st IEEE Non-Volatile Semiconductor Memory Workshop, pp.48-49, 2006.

S. Kim, K. J. Han, K. Lee, R. Li, J. Wolfman et al., High performance 65nm 2T-embedded Flash memory for high reliability SOC applications, 2010 IEEE International Memory Workshop, pp.1-3, 2010.

S. Kianian, A. Levi, D. Lee, and Y. Hu, A novel 3 volts-only, small sector erase, high density flash E/sup 2/PROM, Proceedings of 1994 VLSI Technology Symposium, pp.71-72, 1994.

Y. Tkachev, X. Liu, and A. Kotov, Floating-Gate Corner-Enhanced Poly-to-Poly Tunneling in Split-Gate Flash Memory Cells, IEEE Trans. Electron Devices, vol.59, issue.1, pp.5-11, 2012.

A. T. Tilke, L. Pescini, M. Bauer, M. Stiftinger, R. Kakoschke et al., Highly Scalable Embedded Flash Memory With Deep Trench Isolation and Novel Buried Bitline Integration for the 90-nm Node and Beyond, IEEE Trans. Electron Devices, vol.54, issue.7, pp.1681-1688, 2007.

A. Watson and S. H. Voldman, The effect of deep trench and sub-collector on the latchup robustness in BiCMOS silicon germanium technology, Bipolar/BiCMOS Circuits and Technology, pp.172-175, 2004.

H. Tu, I. Chen, P. Yeh, and H. Chiou, High Performance Spiral Inductor on Deep-Trench-Mesh Silicon Substrate, IEEE Microw. Wirel. Components Lett, vol.16, issue.12, pp.654-656, 2006.

H. Sunami, Development of three-dimensional MOS structures from trench-capacitor DRAM cell to pillar-type transistor, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology, pp.853-856, 2008.

D. Lee, F. Tsui, J. Yang, F. Gao, W. Lu et al., Vertical floating-gate 4.5F2 split-gate NOR flash memory at 110nm node, Digest of Technical Papers. 2004 Symposium on VLSI Technology, pp.72-73, 2004.

H. Van-tran, A. Ly, V. Sarin, S. T. Nguyen, H. Q. Nguyen et al., An experimental 1Mb 0.11 um 4.5F2 1.8Volt multilevel vertical split gate source side injection test vehicle for giga-bit density NOR flash memory, IEEE Asian Solid-State Circuits Conf. ASSCC 2005, pp.125-128, 2005.

B. Chen, Highly Reliable SuperFlash Embedded Memory Scaling for Low Power SoC, 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), pp.1-2, 2007.

N. Sullivan, L. L. Raja, R. J. Kee, Y. Yokota, and M. Williams, Exploring ISSG process space, 9th International Conference on Advanced Thermal Processing of Semiconductors, pp.95-110, 2001.

J. Yohan, Étude des fluctuations locales des transistors MOS destinés aux applications analogiques, 2011.

J. Bartoli, Développement et caractérisation d'architectures mémoires non volatiles pour les applications basse consommation, 2014.

A. El-amine,

B. De-salvo, G. Ghibaudo, G. Pananakakis, G. Reimbold, F. Mondond et al., Experimental and theoretical investigation of nonvolatile memory dataretention, IEEE Trans. Electron Devices, vol.46, issue.7, pp.1518-1524, 1999.

J. Joe, E. Brewer, and M. Gill, Nonvolatile memory technologies with emphasis on Flash : a comprehensive guide to understanding and using NVM devices, 2008.

D. Shum, J. R. Power, R. Ullmann, E. Suryaputra, K. Ho et al., Highly Reliable Flash Memory with Self-Aligned Split-Gate Cell Embedded into High Performance 65nm CMOS for Automotive &amp, pp.1-4, 2012.

S. Yamada, Y. Hiura, T. Yamane, K. Amemiya, Y. Ohshima et al., Degradation mechanism of flash EEPROM programming after program/erase cycles, Proceedings of IEEE International Electron Devices Meeting, pp.23-26

S. S. Chung, C. M. Yih, S. M. Cheng, and M. S. Liang, A New Oxide Damage Characterization Technique For Evaluating Hot Carrier Reliability Of Flash Memory Cell After P/E Cycles, Symposium on VLSI Technology, pp.111-112, 1997.

S. S. Chung, C. Yih, S. Cheng, and M. Liang, A new technique for hot carrier reliability evaluations of flash memory cell after long-term program/erase cycles, IEEE Trans. Electron Devices, vol.46, issue.9, pp.1883-1889, 1999.

P. Cappelletti, R. Bez, D. Cantarelli, and L. Fratin, Failure mechanisms of flash cell in program/erase cycling, Proceedings of 1994 IEEE International Electron Devices Meeting, pp.291-294

G. Just, Caractérisation et modélisation des mémoires Flash embarquées destinées aux applications faible consommation et à forte contrainte de fiabilité

V. Della-marca, J. Postel-pellerin, G. Just, P. Canet, and J. Ogier, Impact of endurance degradation on the programming efficiency and the energy consumption of NOR flash memories, Microelectron. Reliab, vol.54, pp.2262-2265, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01760459

B. E. Stine, D. S. Boning, J. E. Chung, D. Bell, and E. Equi, SPIE Symposium on Microelectronic Manufacturing , SPIE, vol.2874, p.27, 1996.

S. H. Joost-van-herk, J. D. Caunes, and F. Pasqualini, Guidline to start a R2R control loop, 2005.

C. J. Raymond, S. S. Naqvi, and J. R. Mcneil, Scatterometry for CD measurements of etched structures, pp.720-728, 1996.

J. C. Vickerman and D. , materials analysis by mass spectrometry

Y. Park and D. K. Schroder, Degradation of thin tunnel gate oxide under constant Fowler-Nordheim current stress for a flash EEPROM, IEEE Trans. Electron Devices, vol.45, issue.6, pp.1361-1368, 1998.

U. Buttgereit, R. Birkner, T. Scheruebl, S. Putter, B. Kastrup et al., Reducing measurements, p.76380, 2010.

H. Kwon, D. Min, P. Jang, B. Chang, B. Choi et al., Loading effect parameters of dry etcher system and their analysis in mask-to-mask loading and within-mask loading, p.79, 2002.

J. Kang, J. Kim, S. Jung, H. Kim, and K. Kim, Modified optical proximity correction model to compensate pattern density induced optical proximity effect, p.1220, 2005.

B. E. Stine, D. S. Boning, and J. E. Chung, Analysis and decomposition of spatial variation in integrated circuit processes and devices, IEEE Trans. Semicond. Manuf, vol.10, issue.1, pp.24-41, 1997.

M. Rízquez, Characterization and optimization of high density plasma etching processes for advanced memories application, 2016.

G. Roy, A. Ghetti, A. Benvenuti, A. Erlebach, and A. Asenov, Comparative simulation study of the different sources of statistical variability in contemporary floating-gate nonvolatile memory, IEEE Trans. Electron Devices, vol.58, issue.12, pp.4155-4163, 2011.

E. A. Agharben, A. Roussy, E. A. Agharben, M. Bocquet, E. A. Agharben et al., Critical sensitivity of flash gate dimension spread on electrical performances for advanced embedded memory, 2015 26th Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC), pp.401-404, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01737953

X. Jiang, M. Li, R. Wang, J. Chen, and R. Huang, Investigations on the correlation between line-edge-roughness (LER) and line-width-roughness (LWR) in nanoscale CMOS technology, 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, pp.1-3, 2012.

F. Zhao, L. Zhang, Q. Wang, and Z. Jiang, Impact of line edge roughness and linewidth roughness on critical dimension variation, 2012 IEEE International Conference on Computer Science and Automation Engineering (CSAE), vol.3, pp.475-479, 2012.

Z. Jiang, F. Zhao, W. Jing, P. D. Prewett, and K. Jiang, Characterization of line edge roughness and line width roughness of nano-scale typical structures, 2009 4th IEEE, pp.299-303, 2009.

G. Ayal, D. Andelman, and Y. Cohen, Analytical model for ArF photoresist shrinkage under scanning electron microscopy inspection, J. Vac. Sci. Technol. B Microelectron. Nanom. Struct, vol.27, issue.4, p.1976, 2009.

J. Lowes, V. Pham, J. Meador, C. Stroud, F. Rosas et al., Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications, p.76390, 2010.

R. Huang and M. Weigand, Plasma etch properties of organic BARCs, p.69232, 2008.

S. Ruegsegger, A. Wagner, J. S. Freudenberg, and D. S. Grimard, Feedforward control for reduced run-to-run variation in microelectronics manufacturing, IEEE Trans. Semicond. Manuf, vol.12, issue.4, pp.493-502, 1999.

N. Jedidi, P. Sallagoity, A. Roussy, and S. Dauzere-peres, Feedforward Run-to-Run Control for Reduced Parametric Transistor Variation in CMOS Logic
URL : https://hal.archives-ouvertes.fr/emse-01792352

, IEEE Trans. Semicond. Manuf, vol.24, issue.2, pp.273-279, 2011.

R. Good and S. J. Qin, Stability analysis of double EWMA run-to-run control with metrology delay, Proceedings of the 2002 American Control Conference, vol.3, pp.2156-2161, 2002.

D. C. Montgomery, Design and analysis of experiments, 2013.

, Design of Experiments, Analytic Methods for Design Practice, pp.309-391, 2007.

K. Faron, M. Freeland, O. Krogh, S. Patel, and G. Raghavendra, Multivariable versus univariable APC, p.18, 2004.

E. Lenderink and P. Stehouwer, Optimization, sensitivity analysis, and robust design using response surface modeling, p.710302, 2008.

S. Adivikolanu and E. Zafiriou, Extensions and performance/robustness tradeoffs of the EWMA run-to-run controller by using the internal model control structure, IEEE Trans. Electron. Packag. Manuf, vol.23, issue.1, pp.56-68, 2000.

A. Badr, General Introduction to Design of Experiments (DOE), Wide Spectra of Quality Control, 2011.

, Journal of quality technology, American Society for Quality Control