M. Brihoum, G. Cunge, M. Darnon, D. Gahan, O. Joubert et al., Ion flux and ion distribution function measurements in synchronously pulsed inductively couple plasmas, J. Vac. Sci. Technol. A, vol.31, p.20604, 2013.

M. Brihoum, Miniaturisation des grilles de transistors: Etude de l'intérêt des plasmas pulsés, 2013.

C. Cardinaux, M. C. Peignon, and P. Y. Tessier, « Plasma etching : principles, mechanisms, application to micro-and nano-technologies », Appl. Surf. Sci, vol.164, p.72, 2000.

J. W. Coburn and H. F. Winters, Ion and electron assisted gas-surface chemistry-An important effect in plasma etching, J. Appl. Phys, vol.50, p.5, 1979.

G. Cunge, D. Vempaire, R. Ramos, M. Touzeau, O. Joubert et al.,

. Sadeghi, Radical surface interactions in industrial silicon plasma etch reactors, Plasma Sources Sci. Technol, vol.19, p.34017, 2010.
URL : https://hal.archives-ouvertes.fr/hal-01005939

]. E. -pujo2014, M. Despiau-pujo, P. Brihoum, M. Bodart, G. Darnon et al., Pulsed Cl 2 /Ar inductively coupled plasma processing: 0D model versus experiments, J. Phys. D: Appl. Phys, vol.47, p.455201, 2014.

R. Doering and Y. Nishi, Handbook of Semiconductor Manufacturing Technology, vol.2, 2007.

V. M. Donnelly, F. P. Klemens, T. W. Sorsch, G. L. Timp, and F. H. Bau-mann, Oxidation of Si beneath thin SiO2 layers during exposure to HBr/O2 plasmas, investigated by vacuum transfer X-ray Photoelectron Spectroscopy, Appl. Phys. Lett, vol.74, p.1260, 1999.

D. J. Economou, Pulsed plasma etching for semiconductor manufacturing, J. Phys. D: Appl. Phys, vol.47, p.303001, 2014.

S. Fand, C. Chiang, B. Fraser, P. Lee, M. Keswick et al., The role of polymer deposited in differential dielectric etch, J. Vac. Sci. Technol. A, vol.14, p.3, 1996.

S. J. Fonash, An overview of dry etching damage and contamination effects, Journal of The Electrochemical Society, vol.137, 1990.

M. Fukasawa, Y. Nakakubo, A. Matsuda, Y. Takao, K. Eriguchi et al., Structural and electrical characterization of HBr/O2 plasma damage to Si substrate, J. Vac. Sci. Technol. A, vol.29, p.41301, 2011.

C. Petit-etienne, E. Pargon, S. David, M. Darnon, L. Vallier et al., Joubert, s. Banna, « Silicon recess minimization during gate patterning using synchronous plasma pulsing, J. Vac. Sci. Technol. B, vol.30, p.40604, 2012.

N. Posseme, O. Joubert, and L. Vallier, Microelectronic method for etching a layer, 2016.

R. Ramos, Interactions entre les plasmas de gravure à couplage inductif et les parois du réacteur, 2008.

S. Samukawa and K. Terada, Pulse-time modulated electron cyclotron resonance plasma etching for highly selective, highly anisotropic, and less-charging polycrystalline silicon patterning, J. Vac. Sci. Technol. B, vol.12, p.3300, 1994.

S. Samukawa, Pulse-time-modulated electron cyclotron resonance plasma etching for highly selective, highly anisotropic, and notch-free polycrystalline silicon patterning, Appl. Phys. Lett, vol.64, p.3398, 1994.

S. Samukawa, Highly Selective and Highly Anisotropic SiO2 Etching in Pulse-Time Modulated Electron Cyclotron Resonance Plasma, Jpn. J. Appl. Phys, vol.33, 1994.

S. Samukawa and T. Mieno, Pulse-time modulated plasma discharge for highly selective, highly anisotropic and charge-free etching, Plasma Sources Sci. Technol, vol.5, p.13, 1996.

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou, Surprising importance of photoassisted etching of silicon in chlorine-containing plasmas, J. Vac. Sci. Technol. A, vol.30, p.21306, 2012.

P. Sigmund, Theory of sputtering. I. Sputtering yield of Amorphous ans Polycristalline Targets, Phys. Rev, vol.184, 1969.

M. J. Sowa, M. E. Littau, V. Pohray, and J. L. Cecchi, Fluorocarbon polymer deposition kinetics in a low-pressure, high density, inductively coupled plasma reactor, J. Vac. Sci. Technol. A, vol.18, p.5, 2000.

T. E. Standaert, E. A. Hedlund, G. S. Joseph, T. J. Oehrlein, and . Dalton, Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide, J. Vac. Sci. Technol. A, vol.22, p.1, 2004.

C. Streinbrüchel, Universal energy dependence of physical and ionenhanced chemical etch yields at low ion energy, Appl. Phy. Lett, vol.55, p.19, 1989.

H. Sugai, K. Nakamura, Y. Hikosaka, and M. Nakamura, Diagnostics and control of radicals in an inductively-coupled etching reactor, J. Vac. Sci. Technol. A, vol.12, p.887, 1995.

K. Tokashiki, H. Cho, S. Banna, J. Y. Lee, K. Shin et al., Synchronous Pulse Plasma Operation upon Source and Bias Radio Frequency for Inductively Coupled Plasma for Highly Reliable Gate Etching Technology, Jpn. J. Appl. Phys, vol.48, pp.8-9, 2009.

M. Tuda, K. Shintani, and J. Tanimura, Study of plasma-surface interactions during overetch of polycrystalline silicon gate etching with high-density HBr/O2 plasmas, Appl. Phys. Lett, vol.79, p.2535, 2001.

S. J. Ullal, A. R. Godfrey, E. Edelberg, L. Braly, V. Vahedi et al., Effect of chamber wall conditions on Cl and Cl 2 concentrations in an inductively coupled plasma reactor, J. Vac. Sci. Technol. A, vol.20, p.43, 2002.

L. Vallier, L. Desvoivres, M. Bonvalot, and O. Joubert, Thin gate oxide behavior during plasma patterning of silicon gates, Appl. Phys. Lett, vol.75, p.1069, 1999.
URL : https://hal.archives-ouvertes.fr/hal-00285340

S. A. Vitale and B. A. Smith, Reduction of silicon recess caused by plasma oxidation during high-density plasma polysilicon gate etching, J. Vac. Sci. Technol. B, vol.21, p.2205, 2003.

H. F. Winters, J. W. Coburn, and T. J. Chuang, Surface processes in plasma-assisted environments, J. Vac. Sci. Technol. B, vol.1, p.469, 1983.

H. F. Winters, Phenomena produced by ion bombardment in plasma-assisted etching environments, J. Vacc. Sci. Techol. A, vol.6, p.1997, 1988.

C. C. Wei and T. Ma, Reduction of apparent dopant concentration in the surface space charge layer of oxidized silicon by ionizing radiation, Appl. Phys. Lett, vol.45, p.900, 1984.

M. Yamato, S. Natori, ,. Yamauchi, K. Hara, H. Oyama et al., Pattern fidelity in Multiple patterning process, Proc. of SPIE, vol.9052, p.90520, 2014.

N. Yabumoto, M. Oshima, O. Michikami, and S. Yoshii, Surface damage on si substrates caused by reactive sputter etching, Jpn. J. Appl. Phys, vol.20, p.893, 1981.

A. Yokozawa, H. Ohtake, and S. Samukawa, Simulation of a Pulse Time-Modulated Bulk Plasma in Cl2, Jpn. J. Appl. Phys, vol.35, p.2433, 1996.

W. Zhu, S. Sridhar, L. Liu, E. Hernandez, V. M. Donnelly et al., Photoassisted etching of silicon in chlorine-and bromine-containing plasmas, J. Appl. Physics, vol.115, p.203303, 2014.

I. I. Chapitre, Dispositifs expérimentaux et diagnostics A. Les réacteurs de gravure

.. .. Le,

. .. La-sonde-de-flux-d'ions, 'analyseur en énergie des ions : RFA (Retarding Field Analyseur)

. .. La-spectroscopie-de-masse,

C. .. De-surface, Principe de base : l'effet photoélectrique

L. .. Microscopie-À-force-atomique,

. .. La-microscopie-Électronique, Scanning Electron Microscopy (SEM) ou Microscopie Electronique à Balayage (MEB)

. .. L'ellipsométrie,

]. S. Bibliographie-du-chapitre-ii-;-agarwal2012, G. W. Agarwal, M. C. Quax, D. Van-de-sanden, and E. S. Maroudas, Measurement of absolute radical densities in a plasma using modulated-beam lineof-sight threshold ionization mass spectrometry, J. Vac. Sci. Technol., A, vol.22, p.71, 2004.

.. R. Azzam and .. N. Bashara, Ellipsometry and polarized light, 1977.

S. Banna, A. Agarwal, K. Tokashiki, H. Cho, S. Rauf et al., Inductively Coupled Pulsed Plasmas in the Presence of Synchronous Pulsed Substrate Bias for Robust, Reliable, IEEE T. Plasma. Sci, vol.37, p.1730, 2009.

J. P. Booth, N. J. St, A. Braithwaite, P. Goodyear, and . Barroy, Measurements of characteristic transients of planar electrostatic probes in cold plasmas, Rev. Sci. Instrum, vol.71, p.2722, 2000.

G. Bouchoux and M. Sablier, Spectrométrie de masse -Principe et appareillage, Techniques de l'ingénieur, p.2645, 2005.

N. J. St, J. P. Braithwaite, G. Booth, and . Cunge, A novel electrostatic probe method for ion flux measurements, Plasma Sources Sci. Technol, vol.5, 1996.

D. Briggs and M. P. Seah, Practical Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, 1983.

G. Cunge, P. Bodart, M. Brihoum, F. Boulard, T. Chevolleau et al., Measurement of free radical kinetics in pulsed plasmas by UV and VUV absorption spectroscopy and by modulated beam mass spectrometry, Plasma Sources Sci. Technol, vol.21, p.24006, 2012.
URL : https://hal.archives-ouvertes.fr/hal-01005974

M. Darnon, G. Cunge, and N. S. Braithwaite, Time-resolved ion flux, electron temperature and plasma density measurements in a pulsed Ar plasma using a capacitively coupled planar probe, Plasma Sources Sci. Technol, vol.23, p.25002, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01798624

T. M. Duc, Analyse de surface par ESCA, Analyse élémentaire et applications », Techniques de l'ingénieur, 1998.

D. Gahan, B. Dolinaj, and M. B. Hopkins, Retarding field analyzer for ion energy distribution measurements at a radio-frequency biased electrode, Rev. Sci. Instrum, vol.79, p.33502, 2008.

D. Gahan, B. Dolinaj, C. Hayden, and M. B. Hopkins, Retarding Field Analyzer for Ion Energy Distribution Measurement Through a Radio-Frequency or Pulsed Biased Sheath, Plasma Process. Polym, vol.6, p.643, 2009.

D. Gahan, S. Daniels, C. Hayden, P. Scullin, D. O'sullivan et al., Ion energy distribution measurements in rf and pulsed dc plasma discharges, Plasma Sources Sci. Technol, vol.21, p.24004, 2012.

G. Hollinger and P. Pertosa, Spectroscopie d'électrons, Surfaces, interfaces et films minces, 1990.

G. Hollinger, « Analyse chimique et caractérisation », Technique de l'ingénieur, 1986.

. Fujiwara, Spectroscopic ellipsometry principles and applications, 2003.

J. F. Moulder, W. F. Strickle, P. E. Sobol, and K. D. Bomben, Handbook of X-ray Photoelectrons Spectroscopy, 1992.

T. M. Duc, « Analyse de surface par ESCA, Principe et Instrumentation », Techniques de l'ingénieur, 1998.

B. Pelissier and N. Sadeghi, Time-resolved pulse-counting lock-in detection of laser induced fluorescence in the presence of a strong background emission, Rev. Sci. Instrum, vol.67, p.3405, 1996.

B. Pelissier, A. Beaurain, J. P. Barnes, R. Gassilloud, F. Martin et al., Parallel angle resolved XPS investigations on 12 in wafers for the study of W and WSix oxidation in air, Microelectron. Eng, vol.85, p.1882, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00397111

J. Perrin, Plasma processing of semiconductors, Applied Sciences, vol.336, p.339, 1997.

J. Perrin, M. Shiratani, P. Kae-nune, H. Videlot, J. Jolly et al., Surface reaction probabilities and kinetics of H, SiH3, Si2H5, CH3, and C2H5 during deposition of a-Si: H and a-C:H from H-2, SiH4, and CH2 discharges, J. Vac. Sci. Technol. A, vol.16, p.278, 1998.

J. H. Scofield, Hartree-Slater subshell photoionization cross-sections at 1254 and 1487 eV, J. Electron Spectrosc, vol.8, p.13, 1976.

M. P. Seah and M. T. Anthony, Quantitative XPS -The calibration of spectrometer intensity energy response functions. 1. The establishment of reference procedures and instrument behavior, Surf. Interf. Anal, vol.6, p.230, 1984.

H. Singh, J. Coburn, and D. Graves, Appearance potential mass spectrometry: Discrimination of dissociative ionization products, J. Vac. Sci.Technol. A, vol.17, p.2447, 2000.

H. Singh, J. W. Coburn, and D. B. Graves, Mass spectrometric detection of reactive neutral species: Beam-to-background ratio, J. Vac. Sci. Technol., A, vol.17, p.2447, 1999.

D. A. Shirley, High-Resolution X-Ray Photoemission Spectrum of the Valence Bands of Gold, Phys. Rev. B, vol.5, 1972.

A. Tserepi, W. Schwarzenbach, J. Derouard, and N. Sadeghi, Kinetics of F atoms and fluorocarbon radicals studied by threshold ionization mass spectrometry in a microwave CF4 plasma, J. Vac. Sci. Technol. A, vol.15, p.3120, 1997.

S. Ashida and M. A. Lieberman, Spatially Averaged (Global) Model of time modulated high density chlorine plasmas, Jpn. J. Appl. Phy, vol.36, pp.854-861, 1997.

F. Bailly, T. David, T. Chevolleau, M. Darnon, N. Posseme et al., Roughnening of porous SiOCH materials in fluorocarbon plasmas, J. Appl. Phys, vol.108, p.14906, 2010.

S. Banna, A. Agarwal, G. Cunge, M. Darnon, E. Paron et al., Pulsed highdensity plasmas for advanced dry etching processes, J. Vac. Sci. Technol. A, vol.30, p.40801, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00808849

P. Bodart, M. Brihoum, G. Cunge, O. Joubert, and N. Sadeghi, Analysis of pulsed high-density HBr and Cl 2 plasmas: impact of the pulsing parameters on the radical densities, J. Appl. Phys, vol.110, p.113302, 2011.
URL : https://hal.archives-ouvertes.fr/hal-01005953

P. Brichon, E. Despiau-pujo, O. Mourey, and O. Joubert, Key plasma parameters for nanometric precision etching of Si films in chlorine discharges, J. Appl. Phys, vol.118, issue.5, p.53303, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01878054

P. Brichon, E. Despiau-pujo, and O. Joubert, MD simulations of low energy Cl x + ions interaction with ultrathin silicon layers for advanced etch processes, J. Vac. Sci. Technol. A, vol.32, p.21301, 2015.

M. Brihoum, G. Cunge, M. Darnon, D. Gahan, O. Joubert et al., Ion flux and ion distribution function measurements in synchronously pulsed inductively coupled plasmas, J. Vac. Sci. Technol. A, vol.31, p.20604, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00860915

J. P. Chang and H. H. Sawin, Kinetic study of low energy ion-enhanced polysilicon etching using Cl, Cl 2 , and Cl + beam scattering, J. Vac. Sci. Technol. A, vol.15, p.610, 1997.

J. P. Chang, J. C. Arnold, G. C. Zau, H. Shin, and H. H. Sawin, Kinetic study of low energy argon ion-enhanced plasma etching of polysilicon with atomic/molecular chlorine, J. Vac. Sci. Technol. A, vol.15, p.1853, 1997.

J. P. Chang, A. P. Mahorowala, and H. H. Sawin, Plasma-surface kinetics and feature profile evolution in chlorine etching of polysilicon, J. Vac. Sci. Technol. A, vol.16, p.217, 1998.

G. Cunge, B. Pelissier, O. Joubert, R. Ramos, and C. Maurice, New chamber walls conditionning and cleaning strategies to improve the stability of plasma processes, Plasma Sources Sci. Technol, vol.14, p.599, 2005.

G. Cunge, D. Vempaire, R. Ramos, M. Touzeau, O. Joubert et al.,

. Sadeghi, Radical surface interactions in industrial silicon plasma etch reactors, Plasma Sources Sci. Technol, vol.19, p.34017, 2010.
URL : https://hal.archives-ouvertes.fr/hal-01005939

G. Cunge, N. Sadeghi, and R. Ramos, Influence of the reactor wall composition on radicals' densities and total pressure in Cl 2 inductively coupled plasmas: II. During silicon etching, J. Appl. Phys, vol.102, p.93305, 2007.

G. Cunge, P. Bodart, M. Brihoum, F. Boulard, T. Chevolleau et al., Measurement of free radical kinetics in pulsed plasmas by UV and VUV absorption spectroscopy and by modulated beam mass spectrometry, Plasma Sources Sci. Technol, vol.21, p.24006, 2012.
URL : https://hal.archives-ouvertes.fr/hal-01005974

G. Cunge, O. Joubert, and N. Sadeghi, Enhancement of the recombination rate of Br atoms by CF 4 addition and resist etching in HBr/Cl 2 /O 2 plasmas, J. Appl. Phys, vol.94, p.6285, 2003.

G. Cunge, M. Kogelschatz, and N. Sadeghi, Influence of reactor walls on plasma chemistry and on silicon etch product densities during silicon etching processes in halogen based plasmas, Plasma Sources Sci. Technol, vol.13, p.522, 2004.

G. Cunge, N. Sadeghi, and R. Ramos, Influence of the reactor wall composition on radicals' densities and total pressure in Cl 2 inductively coupled plasmas: I. Whithout silicon etching, J. Appl. Phys, vol.102, p.93304, 2007.

T. J. Dalton, J. C. Arnold, H. H. Sawin, S. Swan, and D. Corliss, Microtrench formation in polysilicon plasma etching over thin gate oxide, J. Electrochem. Soc, vol.140, p.2395, 1993.

]. E. -pujo2014, M. Despiau-pujo, P. Brihoum, M. Bodart, G. Darnon et al., Pulsed Cl 2 /Ar inductively coupled plasma processing: 0D model versus experiments, J. Phys. D: Appl. Phys, vol.47, p.455201, 2014.

D. J. Economou, « Pulsed plasma etching for semiconductor manufacturing, J. Phys. D : Appl. Phys, vol.47, 2014.

E. Gogolides, C. Boukouras, G. Kokkoris, O. Brani, A. Tserepi et al.,

. Constantudis, Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation, Microelectron. Eng, vol.73, p.312, 2004.

R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage, Microscopic uniformity in plasma etching, J. Vac. Sci. Technol. B, vol.10, p.2133, 1992.

W. Guo and H. H. Sawin, Modeling of the angular dependence of plasma etching, J. Vac. Sci. Technol. A, vol.27, p.1326, 2009.

M. Haass, « Pulsed plasmas for etch applications, 2012.

R. J. Hoekstra, M. J. Kushner, V. Sukharev, and P. Shoenborn, Microtrenching resulting from specular reflection during chlorine etching of silicon, J. Vac. Sci. 1022 Technol., B, vol.16, p.2102, 1998.

R. Khare, A. Srivastava, and V. M. Donnelly, Interactions of chlorine plasmas with silicon chloride-coated reactor walls during and after silicon etching, J. Vac. Sci. Technol. A, vol.30, p.51306, 2012.

G. P. Kota, J. W. Coburn, and D. B. Graves, The recombination fo chlorine atoms at surfaces, J. Vac. Sci. Technol. A, vol.16, p.270, 1998.

J. A. Levinson, E. S. Shaqfeh, M. Balooch, and A. V. Hamza, Ion-assisted etching and profile development of silicon in molecular and atomic chlorine, J. Vac. Sci. Technol. B, vol.18, issue.1, p.172, 2000.

A. P. Mahorowala and H. H. Sawin, Etching of polysilicon in inductively coupled Cl2 and HBr discharges. III. Photoresist mask faceting, sidewall deposition, and microtrenching, J. Vac. Sci. Technol., B, vol.20, p.1077, 2002.

M. Martin and G. Cunge, Surface roughness generated by plasma etching processes of silicon, J. Vac. Sci. Technol. B, vol.26, issue.4, p.1281, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00397103

V. Midha, D. J. Economou, and . Spatio, Plasma Sources Sci. Technol, vol.9, pp.256-269, 2000.

N. Nakazaki, H. Tsuda, Y. Takao, K. Eriguchi, and K. Ono, Two modes of surface roughening during plasma etching of silicon: Role of ionized etch products, J. Appl. Phys, vol.116, p.223302, 2014.

N. Nakazaki, Y. Takao, K. Eriguchi, and K. Ono, Molecular dynamics simulations of Si etching in Cl-and Br-based plasmas: Cl+ and Br+ ion incidence in the presence of Cl and Br neutrals, J. Appl. Phys, vol.118, p.233304, 2015.

]. C. -etienne2013, M. Petit-etienne, P. Darnon, M. Bodart, G. Fouchier et al., Atomic-scale silicon etching control using pulsed Cl 2 plasma, J. Vac. Sci. Technol. B, vol.31, p.11201, 2013.

R. Ramos, G. Cunge, B. Pelissier, and O. Joubert, Cleaning aluminium fluoride coatings from plasma reactor walls in SiCl 4 /Cl 2 plasmas, Plasma Sources Sci. Technol, vol.16, p.711, 2007.

R. Ramos, G. Cunge, and O. Joubert, On the interest of carbon-coated plasma reactor for advanced gate stack etching processes, J. Vac. Sci. Technol. A, vol.25, p.290, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00397072

S. Tachi and S. Okudaira, Chemical sputtering of silicon by F + , Cl + , and Br + ions: Reactive spot model for reactive ion etching, J. Vac. Sci. Technol. B, vol.4, p.459, 1996.

D. J. Thomas, P. Southwoth, M. C. Flowers, and R. Greef, An investigation of the roughening of silicon (100) surfaces in Cl2/CCl4 reactive ion etching plasmas by insitu ellipsometry and quadrupole mass spectrometry: the role of CCl4, J. Vac. Sci. Technol. B, vol.8, p.516, 1990.

S. J. Ullal, A. R. Godfrey, E. Edelberg, L. Braly, V. Vahedi et al., Effect of chamber wall conditions on Cl and Cl 2 concentrations in an inductively coupled plasma reactor, J. Vac. Sci. Technol. A, vol.20, p.43, 2002.

S. A. Vitale, H. Chae, and H. H. Sawin, Silicon etching yields in F 2 , Cl 2 , Br 2 , and HBr high density plasmas, J. Vac. Sci. Technol. A, vol.19, pp.2197-1026, 2001.

M. Wang and M. J. Kushner, Modeling of implantation and mixing damage during etching of SiO 2 over Si in fluorocarbon plasmas, J. Vac. Sci. Technol. A, vol.29, issue.5, p.51306, 2011.

W. Zhu, S. Sridhar, L. Liu, E. Hernandez, V. M. Donnelly et al., Photoassisted etching of silicon in chlorine and bromine containing plasma, J. Appl. Phys, vol.115, p.203303, 2014.

, Bibliographie du chapitre IV

P. Brichon, E. Despiau-pujo, O. Mourey, and O. Joubert, Key plasma parameters for nanometric precision etching of Si films in chlorine discharges, J. Appl. Phys, vol.118, issue.5, p.53303, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01878054

P. Brichon, E. Despiau-pujo, and O. Joubert, MD simulations of low energy Cl x + ions interaction with ultrathin silicon layers for advanced etch processes, J. Vac. Sci. Technol. A, vol.32, p.21301, 2015.

T. D. Bestwick and G. S. Oehrlein, Reactive ion etching of silicon using bromine containing plasmas, J. Vac. Sci. Technol., A, vol.8, p.1696, 1990.

J. W. Coburn and H. F. Winters, Ion and electron assisted gas-surface chemistry-An important effect in plasma etching, J. Appl. Phys, vol.50, p.5, 1979.

D. L. Flamm and V. M. Donnelly, Anisotrpic etching in chlorine-containing plasmas, Solid. State. Technol, vol.24, 1981.

M. Fukasawa, Y. Nakakubo, A. Matsuda, Y. Takao, K. Eriguchi et al., Structural and electrical characterization of HBr/O2 plasma damage to Si substrate, J. Vac. Sci. Technol., A, vol.29, p.41301, 2011.

M. Haass, Pulsed plasmas for etch applications: Développement de procédés de gravure à base de plasmas réactifs pulsés, 2012.

M. Haass, M. Darnon, G. Cunge, O. Joubert, and D. Gahan, Silicon etching in a pulsed HBr/O 2 plasma. I. Ion flux and energy analysis, J. Vac. Sci. Technol. B, vol.33, p.32202, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01878012

D. C. Hartmann, Plasma etching using hydrogen bromide addition, US patent 4490209A, Texas Instrument Incorporation, 1984.

T. Ito, K. Karahashi, S. Kang, and S. Hamaguchi, Si recess of Polycristalline Silicon Gate etching: damage enhanced by ion assisted oxygen diffusion, J. Phys. Conf. Ser, vol.232, p.12021, 2010.

W. Jin, S. A. Vitale, and H. H. Sawin, Plasma-surface kinetics and simulation of feature profile evolution in Cl 2 + HBr etching of polysilicon, J. Vac. Sci. Technol. A, vol.20, p.2106, 2002.

D. Lino, Y. Nojiri, K. Suzuki, T. Oike, Y. Fuji et al., Influence of oxygen addition and wafer bias voltage on bromine atom surface reaction in a HBr/Ar Inductively coupled plasma, Jpn. J. Appl. Phys, vol.52, pp.11-12, 2013.

M. Martin and G. Cunge, Surface roughness generated by plasma etching processes of silicon, J. Vac. Sci. Technol. B, vol.26, issue.4, p.1281, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00397103

K. Miwa and T. Mukai, Influences of reaction products on etch rates and linewidths in a poly-Si/oxide etching process using HBr/O2 based inductively coupled plasma, J. Vac. Sci. Technol., B, vol.20, p.2120, 2002.

N. Nakazaki, H. Tsuda, Y. Takao, K. Eriguchi, and K. Ono, Two modes of surface roughening during plasma etching of silicon: Role of ionized etch products, J. Appl. Phys, vol.116, p.223302, 2014.

M. Seel and P. S. Bagus, Ab initio cluster study of the interaction of fluorine and chlorine with the Si (111) surface, Phys. Rev. B, vol.28, pp.2023-2038, 1983.

S. A. Vitale, H. Chae, and H. H. Sawin, Silicon etching yields in F 2 , Cl 2 , Br 2 and HBr high density plasmas, J. Vac. Sci. Technol., A, vol.19, p.2197, 2001.

M. A. Vyvoda, H. Lee, M. V. Malyshev, F. P. Klemens, M. Cerullo et al., Effects of plasma conditions on the shapes of features etched in Cl-2 and HBr plasmas. I. Bulk crystalline silicon etching, J. Vac. Sci. Technol. A, vol.16, p.3247, 1998.

W. Zhu, S. Sridhar, L. Liu, E. Hernandez, V. M. Donnelly et al., Photoassisted etching of silicon in chlorine and bromine containing plasma, J. Appl. Phy, vol.115, p.203303, 2014.

V. Chapitre, Stochasticité du bombardement ionique dans les nouvelles technologies de gravure : exemple du « simplified multi patterning

A. La,

. .. Le,

B. Le-procédé and R. .. , 126 2. Influence de la pression et de la puissance source

C. , Etat de l'art de la modification de SiN par un plasma H 2

, Rugosité de surface induite par l'exposition à un plasma d'hydrogène

, Observations TEM des espaceurs après exposition à un plasma H 2

D. .. Procédé-h-2-/-rps-sur-espaceur-nitrure-:-mise-en-Évidence-de-la-stochasticité-du-plasma-hydrogène, 141 1. Influence de la température du porte-substrat lors de la phase de retrait RPS sur la rugosité de surface

M. .. De-création-de-la-rugosité, 150 3.2. Mécanisme de gravure du SiN modifiée en plasma délocalisé

E. .. Conclusion,

V. .. Bibliographie-du-chapitre,

.. .. Conclusion,

, D'après ces résultats, il serait donc souhaitable de travailler avec des durées de plasma H 2 plus longues ou avec un flux d'ions plus importants. Cependant, il semblerait que

J. J. Beulens, B. E. Kastenmeier, P. J. Matsuo, and G. S. Oehrlein, Appl. Phys. Lett, vol.66, p.2634, 1995.

M. G. Blain, T. L. Meisenheimer, and J. E. Stevens, Role of nitrogen in the downstream etching of silicon nitride, J. Vac. Sci. Technol. A, vol.14, issue.4, 1996.

J. M. Cook, Downstream plasma etching and stripping, vol.30, p.147, 1987.

J. Dubois, « Nouvelle technologie utilisant les plasmas H2 et He pour contrôler la gravure de couches ultraminces à l'échelle nanométrique, 2016.

G. Hancock, J. P. Sucksmith, and M. J. Toogood, Plasma kinetic measurements using time resolved actinometry -Comparisons with laser-induced fluorescence, J. Phys. Chem, vol.94, p.3269, 1990.

Y. Horiike, Applications of Plasma Processes to VLSI Technology, pp.137-138, 1985.

Y. Horiike and M. Shibagaki, Proc. 7 th Conf. solid State Devices, vol.15, p.13, 1975.

B. E. Kastenmeier, P. J. Matsuo, G. S. Oerhlein, and J. G. Langan, Remote plasma etching of silicon nitride and silicon dioxide using NF 3 /O 2 gas mixtures, J. Vac. Sci. Technol. A, vol.16, issue.4, 1998.

J. Kikuchi, S. Fujimura, M. Suzuki, and H. Yano, Effect on H2O on atomichydrogen generation in hydrogen plasma, Jpn. J. Appl. Phys, vol.32, p.3120, 1993.

J. Kikuchi, M. Iga, H. Ogawa, S. Fujimura, and H. Yano, Native-oxide removal on Si surface by NF3 added hydrogen plasma downstream treatment, Jpn. J. Appl. Phys, vol.33, p.2207, 1994.

H. Kikyuama, N. Miki, K. Saka, J. Takano, I. Kawanabe et al., Principles of wet chemical processing in ULSI microfabrication, Semiconductor Manufacturing, IEEE Transactions on, vol.4, pp.26-35, 1991.

. Vahagn-martirosyan, « Modification de matériaux en couches minces par plasmas H2 ou He : Simulations atomistiques pour procédés de gravure innovants, 2017.

C. J. Mogab, A. C. Adams, and D. L. Flamm, Plasma etching of Si and SiO2 -The effect of oxygen additions to CF4 plasmas, J. Appl. Phys, vol.49, issue.7, 1978.

H. Nishino, N. Hayasaka, and H. Okano, Damage free selective etching of Si native oxides using NF3/NH3 and SF6/H2O down-flow etching, J. Appl. Phys, vol.74, p.1345, 1993.

H. J. Oh, J. H. Lee, M. S. Lee, W. G. Shin, S. Y. Kang et al., NF 3 /NH 3 dry cleaning mechanism inspired by chemical and physical surface modification of Si, SiO2 and Si3N4, ECS Transactions, issue.38, pp.1-8, 2014.

H. Ogawa, T. Arai, M. Yanagisawa, T. Ichiki, and Y. Horiike, Dry cleaning technology for removal of silicon native oxide employing hot NH3/NF3 exposure, Jpn. J. Appl. Phys, vol.41, pp.5349-5358, 2002.

O. Pollet, N. Posseme, V. Ah-leung, and M. Barros, Thin Layer Etching of Silicon Nitride: Comparison of downstream plasma, liquid HF and gaseous HF processes for selective removal after light ion implantation, Solid State Phenomena, vol.255, pp.1662-9779, 2016.

N. Posseme, O. Pollet, and S. Barnola, « Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium, Appl. Phys. Lett, vol.105, p.51605, 2014.

N. Posseme, O. Joubert, and L. Vallier, Microelectronic method for etching a layer, 2016.

M. Sode, T. Schwarz-selinger, and W. Jacob, Quantitative determination of massresolved ion densities in H2-Ar inductively coupled radio frequency plasmas, J. Appl. Phys, vol.113, p.9, 2013.

A. Tavernier, Développement d'un proceed innovant de remplissage des tranchées d'isolation entre transistors des technologies CMOS avancées, 2014.