, En outre, ces mémoires ont été conçues à échelle industrielle avec des techniques et outils de conception avancés permettant d'avoir des résultats plus pertinents et précis que ce que nous avons pu faire pour les MRAM. En ce qui concerne les mémoires MRAM, les fichiers technologiques ont été créés d'une façon similaire à celle des SRAM. De nombreuses simulations électriques au niveau transistor ont été réalisées sur le chemin critique uniquement, afin d'extraire les données nécessaires pour constituer ces fichiers. Ceci explique les résultats obtenus en termes de puissance statique qui n'étaient pas vraiment comparable avec la SRAM. Pour conclure, ce travail a permis la mise en place d'un flot numérique complet en technologie 28nm FDSOI. Les résultats présentés dans ce chapitre illustrent surtout ce que l'on peut faire avec des outils de conception dans un environnement de recherche académique, de ressources disponibles en information pour ces mémoires. Nous n'avons pas eu accès à leur architecture au niveau transistor, ce qui a été compliqué pour comprendre plusieurs parties des fichiers .lib

M. Schéma-de-lecture-d'une and . Google,

. Auieigc&biw=1280&bih=607&dpr=1, , vol.5

K. Jabeur, G. D. Pendina, F. Bernard-granger, and G. Prenat, Spin Orbit Torque Non-Volatile Flip-Flop for High Speed and Low Energy Applications, IEEE Electron Device Letters, vol.35, issue.3, pp.408-410, 2014.

L. Torres and S. Senni, From Embedded World to High Performance Computing using STT-MRAM

F. Google, , vol.1

E. Ahmed and J. Rose, The effect of LUT and cluster size on deep-submicron FPGA performance and density, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.12, issue.3, pp.288-298, 2004.

C. William, B. Black, and . Das, Programmable Logic Using Giant-Magnetoresistance and Spin-Dependent Tunneling Devices, Journal of Applied Physics, vol.87, pp.6674-6679, 2000.

D. Suzuki, M. Natsui, T. Endoh, H. Ohno, and T. Hanyu, Six-input lookup table circuit with 62% fewer transistors using nonvolatile logic-in-memory architecture with series/parallel-connected magnetic tunnel junctions, Journal of Applied Physics, vol.111, issue.7, pp.7-318, 2012.

D. Suzuki and T. Hanyu, Design of an MTJ-based nonvolatile lookup table circuit using an energy-efficient single-ended logic-in-memory structure, IEEE 58th International Midwest Symposium on Circuits and Systems (MWS-CAS), pp.1-4, 2015.

D. Suzuki, Y. Lin, M. Natsui, and T. Hanyu, A 71%-Area-Reduced Six-Input Nonvolatile Lookup-Table Circuit Using a Three-Terminal Magnetic-Tunnel-Junction-Based Single-Ended Structure, Japanese Journal of Applied Physics, vol.52, issue.4S, pp.4-04, 2013.

, Diamond Digital Applications Student Guide, vol.454

P. Benoit, Contribution à la conception de systèmes numériques adaptatifs, p.205

D. Zongtao, Z. Yanni, and D. Zongyuan, An Overview of Data Bandwidth Hierarchy for an Embedded Stream Processor, International Forum on Computer Science-Technology and Applications, pp.34-36, 2009.

M. Aoki, Y. Nakagome, M. Horiguchi, H. Tanaka, S. Ikenaga et al., A 60-ns 16-Mbit CMOS DRAM with a transposed data-line structure, IEEE Journal of Solid-State Circuits, vol.23, issue.5, pp.1113-1119, 1988.

D. Lee, Y. Kim, G. Pekhimenko, S. Khan, V. Seshadri et al., Adaptive-latency DRAM: Optimizing DRAM timing for the common-case, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA), pp.489-501, 2015.

T. Parnell, C. Dunner, T. Mittelholzer, N. Papandreou, and H. Pozidis, Endurance limits of MLC NAND flash, 2015 IEEE International Conference on Communications (ICC), pp.376-381, 2015.

Z. Zhang, Y. Y. Liauw, C. Chen, and S. S. Wong, Monolithic 3-D FPGAs, Proceedings of the IEEE, vol.103, issue.7, pp.1558-2256, 2015.

L. Nouvelle, Les technologies émergentes de mémoires non volatiles prennent enfin leur essor -Electronique, December, 2018.

C. Henry-sie, Memory cell using bistable resistivity in amorphous As-Te-Ge film, p.64

J. Tominaga, X. Wang, A. V. Kolobov, and P. Fons, A reconsideration of the thermodynamics of phase-change switching, physica status solidi (b), issue.10, pp.1932-1938, 2012.

E. M. Philofsky, FRAM-the ultimate memory, Proceedings of Nonvolatile Memory Technology Conference, pp.99-104, 1996.

D. Takashima, Overview of FeRAMs: Trends and perspectives, 11th Annual Non-Volatile Memory Technology Symposium Proceeding, pp.1-6, 2011.

T. Fukuda, K. Kohara, T. Dozaka, Y. Takeyama, T. Midorikawa et al.,

, W/MHz 128kb SRAM for low-power fast wake-up MCU in 65nm CMOS with 27fa/b retention current, pp.236-237, 2014.

E. Vianello, D. R. Ly, S. L. Barbera, T. Dalgaty, N. Castellani et al., Metal Oxide Resistive Memory (OxRAM) and Phase Change Memory (PCM) as Artificial Synapses in Spiking Neural Networks, 25th IEEE International Conference on Electronics, Circuits and Systems (ICECS), pp.561-564, 2018.

D. A. Robayo, C. Nail, G. Sassine, J. F. Nodin, M. Bernard et al., Statistical analysis of CBRAM endurance, International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), pp.1-2, 2018.
URL : https://hal.archives-ouvertes.fr/hal-02050364

A. Mme and . Kaminski, Caractérisation électrique et modélisation de la dynamique de commutation résistive dans des mémoires OxRAM à base de HfO2, p.194

T. Chang, K. Chang, T. Tsai, T. Chu, and S. M. Sze, Resistance random access memory, Materials Today, vol.19, issue.5, pp.254-264, 2016.

D. Apalkov, B. Dieny, and J. M. Slaughter, Magnetoresistive Random Access Memory, Proceedings of the IEEE, vol.104, issue.10, pp.1558-2256, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01834195

, Crocus Nano Electronics successfully tests its 90 nm pMTJ STT-MRAM tech | MRAM-Info

, Spin-transfer Torque MRAM Products | Everspin

|. Samsung and . Mram-info,

P. Monsieur-frédéric, Ultimate scalability of STT-MRAM: storage layer with perpendicular shape anisotropy, p.187

J. Alvarez-hérault, Mémoire magnétique à écriture par courant polarisé en spin assistée thermiquement, p.136

B. Wu, Y. Cheng, J. Yang, A. Todri-sanial, and W. Zhao, Temperature Impact Analysis and Access Reliability Enhancement for 1t1mtj STT-RAM, IEEE Transactions on Reliability, vol.65, issue.4, pp.1755-1768, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01446148

D. Chabi, W. Zhao, E. Deng, Y. Zhang, N. Ben-romdhane et al., Ultra Low Power Magnetic Flip-Flop Based on Checkpointing/Power Gating and Self-Enable Mechanisms, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, pp.1558-0806, 2014.

P. Wang, G. Jan, L. Thomas, A. Wang, T. Zhong et al., Development of STT-MRAM for embedded memory applications, 2017 IEEE International Magnetics Conference (INTERMAG), pp.1-1, 2017.

M. Cubukcu, O. Boulle, N. Mikuszeit, C. Hamelin, T. Bracher et al., Ultra-Fast Perpendicular Spin-Orbit Torque MRAM, IEEE Transactions on Magnetics, vol.54, issue.4, pp.1-4, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01865470

C. Hamelin, Couples de spin-orbite en vue d'applications aux mémoires cache, 2016.

T. Endoh and H. Honjo, A Recent Progress of Spintronics Devices for Integrated Circuit Applications, Journal of Low Power Electronics and Applications, vol.8, issue.4, p.44, 2018.

K. Garello, F. Yasin, S. Couet, L. Souriau, J. Swerts et al., SOT-MRAM 300mm Integration for Low Power and Ultrafast Embedded Memories, 2018 IEEE Symposium on VLSI Circuits, pp.81-82, 2018.

Z. Liang-chang, Y. Wang, W. Gao, Y. Kang, W. Zhang et al., Evaluation of spin-Hall-assisted STT-MRAM for cache replacement, IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp.73-78, 2016.

F. Oboril, R. Bishnoi, M. Ebrahimi, and M. B. Tahoori, Evaluation of Hybrid Memory Technologies Using SOT-MRAM for On-Chip Cache Hierarchy, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.34, issue.3, pp.367-380, 2015.

I. O. John-von-neumann and A. H. Taub, John von Neumann Collected Works, Journal of the American Statistical Association, vol.59, issue.307, p.981, 1964.

D. N. Yadav and P. L. Thangkhiew, Towards an In-Memory Reconfiguration of Arithmetic Logical Unit using Memristor Crossbar Array, IEEE International Conference on Electronics, Computing and Communication Technologies (CONECCT), pp.1-6, 2018.

J. Talafy and H. R. Zarandi, Soft error analysis of MTJ-based logic-in-memory full adder: Threats and solution, 2017 IEEE 23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), pp.207-208, 2017.

W. Lin, S. Sheu, C. Kuo, P. Tseng, M. Chang et al., A nonvolatile look-up table using ReRAM for reconfigurable logic, 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.133-136, 2014.

J. Atulasimha and S. Bandyopadhyay, Nanomagnetic and Spintronic Devices for Energy-Efficient Memory and Computing, 2016.

G. Wang, Conception et développement de nouveaux circuits logiques basés sur des spin transistor à effet de champ. thesis, 2019.

A. Feki, Solutions of subthreshold SRAM in ultra-wide-voltage range in advanced CMOS technologies for biomedical and wireless sensor applications, p.152
URL : https://hal.archives-ouvertes.fr/tel-02003583

S. Senni, L. Torres, and P. Benoit, Abdoulaye Gamatie, and Gilles Sassatelli. Normally-Off Computing and Checkpoint/Rollback for Fast, Low-Power, and Reliable Devices, IEEE Magnetics Letters, vol.8, pp.1-5, 2017.

M. Zhao, Approximate Computing et Conception d'Opérateurs Arithmétiques Approximatifs, p.29

, Virtex-5 Family Overview (DS100), p.15, 2015.

B. Dieny, R. B. Goldfarb, and K. Lee, Introduction to Magnetic Random-Access Memory, 2016.

E. Deng, Design and development of low-power and reliable logic circuits based on spin-transfer torque magnetic tunnel junctions, p.215
URL : https://hal.archives-ouvertes.fr/tel-01643939

O. Goncalves, Conception sur mesure d'un FPGA durci aux radiations à base de mémoires magnétiques, p.180

S. Senni, T. Delobelle, O. Coi, P. Peneau, L. Torres et al., Embedded systems to high performance computing using STT-MRAM, Design, Automation Test in Europe Conference Exhibition (DATE, pp.536-541, 2017.
URL : https://hal.archives-ouvertes.fr/lirmm-01548996

L. Torres, R. M. Brum, L. Vitorio-cargnini, and G. Sassatelli, Trends on the application of emerging nonvolatile memory to processors and programmable devices, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), pp.101-104, 2013.

|. Secretblaze and . Adac,

, Programmable logic device, p.902641037, 2019.

. Xilinx, , p.159862602, 2019.

Z. Almohaimeed and M. Sima, Look-Up tables with multiple inputs for securedby-design FPGAs, 2016 IEEE 59th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2016.

D. Kumar, P. Kumar, and M. Pattanaik, Performance Analysis of 90nm Look Up Table (LUT) for Low Power Application, 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, pp.404-407, 2010.

C. Zhu, X. Zhou, H. Zhou, Y. Shan, Y. Xu et al., Performance evaluation of input sharing LUT architectures in FPGA, 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), pp.710-712, 2016.

D. Suzuki, M. Natsui, S. Ikeda, T. Endoh, H. Ohno et al., Design of a variation-resilient single-ended non-volatile six-input lookup table circuit with a redundant-magnetic tunnel junction-based active load for smart Internet-ofthings applications, Electronics Letters, vol.53, issue.7, pp.456-458, 2017.

S. M. Trimberger, Three Ages of FPGAs: A Retrospective on the First Thirty Years of FPGA Technology, Proceedings of the IEEE, vol.103, issue.3, pp.318-331, 2015.

, What is 3d NAND flash memory? What is its competitive advantage?

Y. Lakys, Z. Weisheng, J. Klein, and C. Chappert, Hardening Techniques for MRAM-Based Nonvolatile Latches and Logic, IEEE Transactions on Nuclear Science, vol.59, pp.1136-1141, 2012.

T. Taylor and G. A. Maston, Standard test interface language (STIL) a new language for patterns and waveforms, Proceedings International Test Conference 1996. Test and Design Validity, pp.565-570, 1996.

R. Dorrance, J. G. Alzate, S. S. Cherepov, P. Upadhyaya, N. Ilya et al., Diode-MTJ Crossbar Memory Cell Using Voltage-Induced Unipolar Switching for High-Density MRAM, IEEE Electron Device Letters, vol.34, issue.6, pp.753-755, 2013.

R. Herveille, Wishbone Specification, p.140

R. Busseuil, L. Barthe, L. Gabriel-marchesan-almeida, F. Ost, G. Bruguier et al., Open-Scale: A Scalable, Open-Source NOC-based MPSoC for Design Space Exploration, 2011 International Conference on Reconfigurable Computing and FPGAs, pp.357-362, 2011.
URL : https://hal.archives-ouvertes.fr/hal-01139181

, GREAT -A H2020 ICT project at SPINTEC, 2016.

, Design Vision