, Les transmissions de paquets entre grappes ('intra-cluster') utilisent des communications de multicast basées sur le CDMA. En cas de communication de broadcast intra-cluster, différentes copies des paquets de broadcast sont générées en fonction de l

. Dans, deux types de canal de code basé sur CMDA sont développés: (i) canaux à deux codes et (ii) canaux à quatre codes, où un maximum de deux et quatre applications sont exécutées en parallèle, respectivement. Ces canaux sont basés sur nos simulations présentées dans § 3.5.5 avec 9 antennes, vol.178

, Dans le cas des scénarios 1 et 2, deux applications s'exécutent en parallèle sur la plateforme WiNoC. Ainsi, nous avons besoin de canaux à deux codes, pp.4-23

, L'évaluation selon les différents scénarios du WiNoC basé sur CDMA montre une amélioration au niveau de la latence du réseau par rapport à l'architecture NoC classique

I. E. Masri, T. L. Gouguec, P. Martin, R. Allanic, and C. Quendo, Electromagnetic Characterization of the Intra-chip Propagation Channel in Ka and V Bands, Communications internationales : Communications avec actes, vol.9, pp.1931-1941, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02193718

I. E. Masri, T. L. Gouguec, P. Martin, R. Allanic, and C. Quendo, EM Analysis of a Propagation Channel in the Sub-THz Band for Many-Core Architectures, 2019 49th European Microwave Conference (EuMC), pp.972-975, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02137426

I. E. Masri, T. L. Gouguec, P. M. Martin, R. Allanic, and C. Quendo, Propagation Channel in Silicon in the Sub-THz Band for MPSoCs, 2019 IEEE 23 rd Workshop on Signal and Power Integrity (SPI), pp.1-4, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02137969

I. E. Masri, T. L. Gouguec, P. M. Martin, R. Allanic, and C. Quendo, Accurate Channel Models for Realistic Design Space Exploration of Future Wireless NoCs, 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp.1-8, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01922765

I. E. Masri, T. L. Gouguec, P. M. Martin, R. Allanic, and C. Quendo, Integrated dipole antennas and propagation channel on silicon in Ka band for WiNoC applications, 2018 IEEE 22 nd Workshop on Signal and Power Integrity (SPI), pp.1-4, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01804562

I. E. Masri, T. L. Gouguec, P. M. Martin, R. Allanic, and C. Quendo, Caractérisation électromagnétique du canal de propagation dans la bande millimétrique pour des réseaux sans fils sur puce, JNM 2019, 2019.

T. L. Gouguec, P. Martin, and I. E. Masri, Transmissions sans fils à 45 GHz pour la réalisation d'un réseau de type WiNoB (Wireless Interconnect Network on Board), JNM 2017, 2017.

. Demi-journée, , 2018.

, Comin Labs, 2018.

, 2015 ITRS 2.0 OFFICIAL PUBLICATION, Semiconductor Industry Association (SIA), p.13, 2015.

K. Pruhs, Energy as a Computation Resource, presented at the NSF Workshop on Research Directions in the Principles of Parallel Computation, vol.28, 2012.

R. Saleh, System-on-Chip: Reuse and Integration, Proceedings of the IEEE, vol.94, issue.6, pp.1050-1069, 2006.

H. Wang, Review of CMOS millimeter-wave radio frequency integrated circuits, 2015 IEEE MTT-S International Microwave and RF Conference (IMaRC), pp.239-242, 2015.

M. Jeangeorges, Conception d'antennes miniatures intégrées pour solutions RF SiP, 2010.

D. Ditomaso, A. Kodi, D. Matolak, S. Kaya, S. Laha et al., A-WiNoC: Adaptive Wireless Network-on-Chip Architecture for Chip Multiprocessors, IEEE Transactions on Parallel and Distributed Systems, vol.26, issue.12, pp.3289-3302, 2015.

C. Grecu, M. Jones, A. Ivanov, and R. Saleh, Performance evaluation and design tradeoffs for network-on-chip interconnect architectures, IEEE Transactions on Computers, vol.54, issue.8, pp.1025-1040, 2005.

T. L. Gouguec, P. Martin, and I. E. Masri, Transmissions sans fils à 45 GHz pour la réalisation d'un réseau de type WINoB (Wireless Interconnect Network on Board), JNM 2017, 2017.

A. Karkar, T. Mak, K. Tong, and A. Yakovlev, A Survey of Emerging Interconnects for On-Chip Efficient Multicast and Broadcast in Many-Cores, IEEE Circuits and Systems Magazine, vol.16, issue.1, pp.58-72, 2016.

S. Pasricha and N. Dutt, Emerging On-Chip Interconnect Technologies, On-chip communication architectures: system on chip interconnect, pp.492-527, 2008.

A. Triantafyllou, Étude, réalisation et caractérisation d'interconnexions radiofréquences pour les circuits intégrées des générations à venir, 2006.

R. Ho, K. W. Mai, and M. A. Horowitz, The future of wires, Proceedings of the IEEE, vol.89, issue.4, pp.490-504, 2001.

A. Todri, A Survey of Carbon Nanotube Interconnects for Energy Efficient Integrated Circuits, IEEE Circuits and Systems Magazine, vol.17, issue.2, pp.47-62, 2017.
URL : https://hal.archives-ouvertes.fr/lirmm-01795757

S. Abadal, E. Alarcón, A. Cabellos-aparicio, M. Lemme, and M. Nemirovsky, Graphene-enabled wireless communication for massive multicore architectures, IEEE Communications Magazine, vol.51, issue.11, pp.4-172, 2013.

K. Ohashi, On-Chip Optical Interconnect, Proceedings of the IEEE, vol.97, issue.7, pp.1186-1198, 2009.

M. Haurylau, On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions, IEEE Journal of Selected Topics in Quantum Electronics, vol.12, issue.6, pp.1699-1705, 2006.

S. Deb, K. Chang, A. Ganguly, and P. Pande, Comparative performance evaluation of wireless and optical NoC architectures, 23rd IEEE International SOC Conference, pp.487-492, 2010.

. A. Md, A. K. Sikder, M. Kodi, S. Kennedy, A. Kaya et al., OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures, 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects, pp.44-51, 2015.

M. Baklanov, P. S. Ho, and E. Zschech, 3D Interconnect Technology, Advanced Interconnects for ULSI Technology, pp.437-490, 2012.

P. Vivet, 3D advanced integration technology for heterogeneous systems, 2015 International 3D Systems Integration Conference (3DIC), 2015.
URL : https://hal.archives-ouvertes.fr/hal-01247896

M. M. Shulaker, T. F. Wu, M. M. Sabry, H. Wei, H. S. Wong et al., Monolithic 3D integration: A path from concept to reality, 2015 Design, Automation Test in Europe Conference Exhibition (DATE), pp.1197-1202, 2015.

J. Luo, Channel Allocation Protocol for Reconfigurable Optical Network-on-Chip, 2015 Workshop on Exploiting Silicon Photonics for Energy-Efficient High Performance Computing, pp.33-39, 2015.

M. M. Shulaker, Monolithic 3D integration of logic and memory: Carbon nanotube FETs, resistive RAM, and silicon FETs, 2014 IEEE International Electron Devices Meeting, 2014.

T. Kikkawa, Wireless inter-chip interconnects, Microelectronic Engineering, vol.88, issue.5, pp.767-774, 2011.

N. Miura, D. Mizoguchi, T. Sakurai, and T. Kuroda, Analysis and design of inductive coupling and transceiver circuit for inductive inter-chip wireless superconnect, IEEE Journal of Solid-State Circuits, vol.40, issue.4, pp.829-837, 2005.

N. Miura, D. Mizoguchi, M. Inoue, T. Sakurai, and T. Kuroda, A 195-Gb/s 1.2-W Inductive Inter-Chip Wireless Superconnect With Transmit Power Control Scheme for 3-D-Stacked System in a Package, IEEE Journal of Solid-State Circuits, vol.41, issue.1, pp.23-34, 2006.

J. Ouyang, J. Xie, M. Poremba, and Y. Xie, Evaluation of using inductive/capacitivecoupling vertical interconnects in 3D network-on-chip, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.477-482, 2010.

A. Briere, WiNoCoD: Un réseau d'interconnexion hiérarchique RF pour les MPSoC, ComPAS'2014: Conférence d'informatique en Parallélisme, pp.p. track-architecture, 2014.

Y. C. Boussougou, T. L. Gouguec, Y. Quere, D. Le-berre, P. Martin et al., Electromagnetic analysis of RF interconnect, pp.1-4, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00432908

M. Hamieh, M. Ariaudo, S. Quintanel, and Y. Louet, Sizing of the physical layer of a RF intra-chip communications, IEEE International Conference on Electronics Circuits and Systems (ICECS), pp.163-166, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01091652

M. F. Chang, V. P. Roychowdhury, L. Zhang, H. Shin, and Y. Qian, RF/wireless interconnect for inter-and intra-chip communications, Proceedings of the IEEE, vol.89, issue.4, pp.456-466, 2001.

G. E. Ponchak, RF transmission lines on silicon substrates, 1999 29th European Microwave Conference, vol.1, pp.158-161, 1999.

Y. Quere, T. L. Gouguec, P. Martin, D. L. Berre, and F. Huret, Frequency Domain Analysis of Transmission Zeroes on High-Speed Interconnects in the Presence of an Orthogonal Metal Grid Underlayer, IEEE Transactions on Advanced Packaging, vol.31, issue.4, pp.684-691, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00380273

A. Karkar, N. Dahir, R. Al-dujaily, K. Tong, T. Mak et al., Hybrid wiresurface wave architecture for one-to-many communication in networks-on-chip, p.2014

. Design, Europe Conference & Exhibition (DATE), pp.1-4, 2014.

V. Pano, I. Yilmaz, Y. Liu, B. Taskin, and K. Dandekar, Wireless Network-on-Chip analysis of propagation technique for on-chip communication, 2016 IEEE 34th International Conference on Computer Design (ICCD), pp.400-403, 2016.

M. O. Agyeman, Q. Vien, A. Ahmadinia, A. Yakovlev, K. Tong et al., A Resilient 2-D Waveguide Communication Fabric for Hybrid Wired-Wireless NoC Design, IEEE Transactions on Parallel and Distributed Systems, vol.28, issue.2, pp.359-373, 2017.

A. Karkar, K. Tong, T. Mak, and A. Yakovlev, Mixed Wire and Surface-wave Communication Fabrics for Decentralized On-Chip Multicasting, pp.794-799, 2015.

A. Rezaei, F. Safaei, M. Daneshtalab, and H. Tenhunen, HiWA: A hierarchical Wireless Network-on-Chip architecture, 2014 International Conference on High Performance Computing & Simulation (HPCS), pp.499-505, 2014.

D. Zhao, Y. Wang, H. Wu, and T. Kikkawa, I(Re)2-WiNoC: Exploring scalable wireless on-chip micronetworks for heterogeneous embedded many-core SoCs, Digital Communications and Networks, vol.1, issue.1, pp.45-56, 2015.

X. Yu, J. Baylon, P. Wettin, D. Heo, P. P. Pande et al., Architecture and Design of Multichannel Millimeter-Wave Wireless NoC, IEEE Design & Test, vol.31, issue.6, pp.19-28, 2014.

J. O. Sosa, O. Sentieys, and C. Roland, A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment, 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp.1-8, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01941761

Y. Zheng, Y. Zhang, and Y. Tong, A novel wireless interconnect technology using impulse radio for interchip communications, IEEE Transactions on Microwave Theory and Techniques, vol.54, issue.4, pp.1912-1920, 2006.

K. Kawasaki, A Millimeter-Wave Intra-Connect Solution, IEEE Journal of Solid-State Circuits, vol.45, issue.12, pp.4-174, 2010.

M. Sun, Y. P. Zhang, G. X. Zheng, and W. Y. Yin, Performance of Intra-Chip Wireless Interconnect Using On-Chip Antennas and UWB Radios, IEEE Transactions on Antennas and Propagation, vol.57, issue.9, pp.2756-2762, 2009.

D. Zhao and Y. Wang, SD-MAC: Design and Synthesis of a Hardware-Efficient Collision-Free QoS-Aware MAC Protocol for Wireless Network-on-Chip, IEEE Transactions on Computers, vol.57, issue.9, pp.1230-1245, 2008.

A. Vidapalapati, V. Vijayakumaran, A. Ganguly, and A. Kwasinski, NoC architectures with adaptive code division multiple access based wireless links, 2012 IEEE International Symposium on Circuits and Systems, pp.636-639, 2012.

M. A. Khan and A. Q. Ansari, Low-power architecture of dTDMA receiver and transmitter for hybrid SoC interconnect, Emerging Trends in Networks and Computer Communications (ETNCC), 2011 International Conference on, pp.350-354, 2011.

M. S. Shamim, N. Mansoor, R. S. Narde, V. Kothandapani, A. Ganguly et al., A Wireless Interconnection Framework for Seamless Inter and Intra-Chip Communication in Multichip Systems, IEEE Transactions on Computers, vol.66, issue.3, pp.389-402, 2017.

J. Bousquet, A 10-Gbps Energy Efficient On-Chip Wireless Communication Network for Multicore Processing, Microelectronics and Solid State Electronics, vol.3, issue.1A, pp.9-16, 2014.

C. Wang, W. Hu, and N. Bagherzadeh, A Wireless Network-on-Chip Design for Multicore Platforms, 2011 19th International Euromicro Conference on Parallel, Distributed and Network-Based Processing, pp.409-416, 2011.

H. K. Mondal, S. H. Gade, R. Kishore, and S. Deb, Adaptive multi-voltage scaling in wireless NoC for high performance low power applications, 2016 Design, Automation Test in Europe Conference Exhibition (DATE), pp.1315-1320, 2016.

S. Lee, A scalable micro wireless interconnect structure for CMPs, Proceedings of the 15th annual international conference on Mobile computing and networking, pp.217-228, 2009.

S. Abadal, J. Torrellas, E. Alarcón, and A. Cabellos-aparicio, OrthoNoC: A Broadcast-Oriented Dual-Plane Wireless Network-on-Chip Architecture, IEEE Transactions on Parallel and Distributed Systems, vol.29, issue.3, pp.628-641, 2018.

P. Wettin, J. Murray, R. Kim, X. Yu, P. P. Pande et al., Performance evaluation of wireless NoCs in presence of irregular network routing strategies, p.2014

. Design, Europe Conference & Exhibition (DATE), pp.1-6, 2014.

M. Lodde, J. Flich, and M. E. Acacio, Heterogeneous NoC Design for Efficient Broadcast-based Coherence Protocol Support, IEEE/ACM International Symposium on Networks-on, pp.59-66, 2012.

H. K. Mondal, S. H. Gade, M. S. Shamim, S. Deb, and A. Ganguly, Interference-Aware Wireless Network-on-Chip Architecture Using Directional Antennas, IEEE Transactions on Multi-Scale Computing Systems, vol.3, issue.3, pp.193-205, 2017.

I. E. Masri, Accurate Channel Models for Realistic Design Space Exploration of Future Wireless NoCs, 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp.1-8, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01922765

H. K. Mondal, R. C. Cataldo, C. A. Marcon, K. Martin, S. Deb et al., Broadcast-and Power-Aware Wireless NoC for Barrier Synchronization in Parallel Computing, 2018 31st IEEE International System-on-Chip Conference (SOCC), pp.1-6, 2018.
URL : https://hal.archives-ouvertes.fr/hal-02005970

S. Deb, A. Ganguly, P. P. Pande, B. Belzer, and D. Heo, Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.2, issue.2, pp.228-239, 2012.

A. Mineo, M. Palesi, G. Ascia, and V. Catania, An adaptive transmitting power technique for energy efficient mm-wave wireless NoCs, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1-6, 2014.

S. P. Voinigescu, S. Shopov, J. Bateman, H. Farooq, J. Hoffman et al., Silicon Millimeter-Wave, Terahertz, and High-Speed Fiber-Optic Device and Benchmark Circuit Scaling Through the 2030 ITRS Horizon, Proceedings of the IEEE, vol.105, issue.6, pp.1087-1104, 2017.

, IEEE Standard Letter Designations for Radar-Frequency Bands, IEEE Std, pp.0-1, 2003.

J. Lee, Y. Chen, and Y. Huang, A Low-Power Low-Cost Fully-Integrated 60-GHz Transceiver System With OOK Modulation and On-Board Antenna Assembly, IEEE Journal of Solid-State Circuits, vol.45, issue.2, pp.264-275, 2010.

A. Babakhani, X. Guan, A. Komijani, A. Natarajan, and A. Hajimiri, A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Receiver and Antennas, IEEE Journal of Solid-State Circuits, vol.41, issue.12, pp.2795-2806, 2006.

J. Park, S. Kang, S. V. Thyagarajan, E. Alon, and A. M. Niknejad, A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication, 2012 Symposium on VLSI Circuits (VLSIC), pp.48-49, 2012.

S. Moghadami, F. Hajilou, P. Agrawal, and S. Ardalan, A 210 GHz Fully-Integrated OOK Transceiver for Short-Range Wireless Chip-to-Chip Communication in 40 nm CMOS Technology, IEEE Transactions on Terahertz Science and Technology, vol.5, issue.5, pp.737-741, 2015.

F. Zhu, A Low-Power Low-Cost 45-GHz OOK Transceiver System in 90-nm CMOS for Multi-Gb/s Transmission, IEEE Transactions on Microwave Theory and Techniques, vol.62, issue.9, pp.2105-2117, 2014.

A. Siligaris, A low power 60-GHz 2.2-Gbps UWB transceiver with integrated antennas for short range communications, pp.297-300, 2013.

C. W. Byeon, C. H. Yoon, and C. S. Park, A 67-mW 10.7-Gb/s 60-GHz OOK CMOS Transceiver for Short-Range Wireless Communications, IEEE Transactions on Microwave Theory and Techniques, vol.61, issue.9, pp.3391-3401, 2013.

S. Daneshgar, A 27.8Gb/s 11.5pJ/b 60GHz transceiver in 28nm CMOS with polarization MIMO, 2018 IEEE International Solid -State Circuits Conference -(ISSCC), pp.166-168, 2018.

A. C. Tasolamprou, Intercell Wireless Communication in Software-defined Metasurfaces, 2018 IEEE International Symposium on Circuits and Systems (ISCAS), pp.1-5, 2018.

T. S. Rappaport, J. N. Murdock, and F. Gutierrez, State of the Art in 60-GHz Integrated Circuits and Systems for Wireless Communications, Proceedings of the IEEE, vol.99, issue.8, pp.1390-1436, 2011.

W. Chen, A 6-Gb/s Wireless Inter-Chip Data Link Using 43-GHz Transceivers and Bond-Wire Antennas, IEEE Journal of Solid-State Circuits, vol.44, issue.10, pp.2711-2721, 2009.

H. J. Lee, Low power and high speed OOK modulator for wireless inter-chip communications, pp.76-79, 2015.

J. D. Leufker, D. Fritsche, G. Belfiore, C. Carta, and F. Ellinger, Multi-Gb/s OOK mm-wave modulator ICs on 28 nm low-power digital CMOS, 2016 12th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), pp.1-4, 2016.

X. Yu, H. Rashtian, S. Mirabbasi, P. P. Pande, and D. Heo, An 18.7-Gb/s 60-GHz OOK Demodulator in 65-nm CMOS for Wireless Network-on-Chip, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.62, issue.3, pp.799-806, 2015.

D. Parveg, M. Varonen, M. Karkkainen, D. Karaca, A. Vahdati et al., Wideband millimeter-wave active and passive mixers in 28 nm bulk CMOS technology, pp.116-119, 2015.

A. Kumar, A 0.065mm2 19.8mW single channel calibration-free 12b 600MS/s ADC in 28nm UTBB FDSOI using FBB, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference, pp.165-168, 2016.
URL : https://hal.archives-ouvertes.fr/in2p3-00018209

S. Kang, S. V. Thyagarajan, and A. M. Niknejad, A 240 GHz Fully Integrated Wideband QPSK Transmitter in 65 nm CMOS, IEEE Journal of Solid-State Circuits, vol.50, issue.10, pp.2256-2267, 2015.

X. Yu, S. P. Sah, H. Rashtian, S. Mirabbasi, P. P. Pande et al., A 1.2-pJ/bit 16-Gb/s 60-GHz OOK Transmitter in 65-nm CMOS for Wireless Network-On-Chip, IEEE Transactions on Microwave Theory and Techniques, vol.62, issue.10, pp.2357-2369, 2014.
URL : https://hal.archives-ouvertes.fr/hal-00479027

T. Shinde, S. Subramaniam, P. Deshmukh, M. M. Ahmed, M. Indovina et al., A 0.24pJ/bit, 16Gbps OOK Transmitter Circuit in 45-nm CMOS for Inter and Intra-Chip Wireless Interconnects, GLSVLSI'18, pp.69-74, 2018.

A. Medra, V. Giannini, D. Guermandi, and P. Wambacq, A 79GHz variable gain low-noise amplifier and power amplifier in 28nm CMOS operating up to 125°C, ESSCIRC 2014 -40th European Solid State Circuits Conference (ESSCIRC), pp.183-186, 2014.

S. Subramaniam, T. Shinde, P. Deshmukh, M. S. Shamim, M. Indovina et al., A 0.36pJ/bit, 17Gbps OOK receiver in 45-nm CMOS for inter and intra-chip wireless interconnects, 2017 30th IEEE International System-on-Chip Conference (SOCC), pp.132-137, 2017.

M. Vigilante and P. Reynaert, On the Design of Wideband Transformer-Based Fourth Order Matching Networks for ${E}$ -Band Receivers in 28-nm CMOS, IEEE Journal of Solid-State Circuits, vol.52, issue.8, pp.2071-2082, 2017.

D. Fritsche, G. Tretter, C. Carta, and F. Ellinger, Millimeter-Wave Low-Noise Amplifier Design in 28-nm Low-Power Digital CMOS, IEEE Transactions on Microwave Theory and Techniques, vol.63, issue.6, pp.1910-1922, 2015.

M. Vigilante and P. Reynaert, 20.10 A 68.1-to-96.4GHz variable-gain low-noise amplifier in 28nm CMOS, pp.360-362, 2016.
URL : https://hal.archives-ouvertes.fr/in2p3-01226430

A. Tang, Y. Kim, Y. Xu, G. Virbila, T. Reck et al., Evaluation of 28 nm CMOS Receivers at 183 GHz for Space-borne Atmospheric Remote Sensing, IEEE Microwave and Wireless Components Letters, vol.27, issue.1, pp.100-102, 2017.

S. T. Nicolson, A. Tomkins, K. W. Tang, A. Cathelin, D. Belot et al., A 1.2 V, 140GHz receiver with on-die antenna in 65nm CMOS, 2008 IEEE Radio Frequency Integrated Circuits Symposium, pp.229-232, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00850728

A. Boyer, Caractéristiques des antennes, Institut national des sciences appliquées de Toulouse, pp.14-20, 2011.

H. M. Cheema and A. Shamim, The last barrier: on-chip antennas, IEEE Microwave Magazine, vol.14, issue.1, pp.79-91, 2013.

D. Hou, Y. Z. Xiong, W. Hong, W. L. Goh, and J. Chen, Silicon-based on-chip antenna design for millimeter-wave/THz applications, 2011 IEEE Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), pp.1-4, 2011.

W. Rayess, D. W. Matolak, S. Kaya, and A. K. Kodi, Antennas and Channel Characteristics for Wireless Networks on Chips, Wireless Personal Communications, vol.95, issue.4, pp.5039-5056, 2017.

A. Shamim, L. Roy, N. Fong, and N. G. Tarr, 24 GHz On-Chip Antennas and Balun on Bulk Si for Air Transmission, IEEE Transactions on Antennas and Propagation, vol.56, issue.2, pp.303-311, 2008.

Y. Wang, D. Makadia, and M. Margala, On-Chip Integrated Antennas -The First Challenge for Reliable on-Chip Wireless Interconnects, Canadian Conference on Electrical and Computer Engineering, pp.2322-2325, 2006.

K. K. , On-Chip Antennas in Silicon ICs and Their Application, IEEE Transactions on Electron Devices, vol.52, issue.7, pp.1312-1323, 2005.

M. Jenning, B. Klein, R. Hahnel, and D. Plettemeier, On-Chip Integrated Antennas for 200 GHz Applications, 2015 IEEE International Conference on Ubiquitous Wireless Broadband (ICUWB), pp.1-5, 2015.

Y. P. Zhang, M. Sun, and L. H. Guo, On-chip antennas for 60-GHz radios in silicon technology, IEEE Transactions on Electron Devices, vol.52, issue.7, pp.1664-1668, 2005.

M. H. Barakat, F. Ndagijimana, and C. Delaveaud, On the design of 60 GHz integrated antennas on 0.13 ?m SOI technology, pp.117-118, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00193727

M. Steeg, B. Khani, V. Rymanov, and A. Stöhr, Novel 50-70 GHz compact PCB leaky-wave antenna with high broadside efficiency and low return loss, 2016 41st International Conference on Infrared, Millimeter, and Terahertz waves, pp.1-2, 2016.

K. Salah and Y. Ismail, New TSV-Based applications: Resonant inductive coupling, variable inductor, power amplifier, bandpass filter, and antenna, New Circuits and Systems Conference (NEWCAS), pp.1-4, 2015.

P. Stärke, D. Fritsche, S. Schumann, C. Carta, and F. Ellinger, High-Efficiency Wideband 3-D On-Chip Antennas for

. Ghz, IEEE Transactions on Terahertz Science and Technology, vol.7, issue.4, pp.4-178, 2017.

S. Demoustier, E. Minoux, M. L. Baillif, M. Charles, and A. Ziaei, Review of two microwave applications of carbon nanotubes: nano-antennas and nano-switches, Comptes Rendus Physique, vol.9, issue.1, pp.53-66, 2008.

M. Aidi, Electromagnetic Modeling of nano-antennas based on Carbon Nanotubes and Graphene Nanoribbon in the THz Range, 2016.
URL : https://hal.archives-ouvertes.fr/tel-01395660

P. Russer, N. Fichtner, P. Lugli, W. Porod, J. A. Russer et al., Nanoelectronics-Based Integrate Antennas, IEEE Microwave Magazine, vol.11, issue.7, pp.58-71, 2010.

P. Li, Y. Shang, H. Yu, and M. Yu, A wideband 150GHz antenna by 3D-TSV based Composite Right/Left Handed Transmission Line for Sub-THz biomedical imaging, Microwave Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare Applications (IMWS-BIO), pp.1-3, 2013.

S. Hu, TSV Technology for Millimeter-Wave and Terahertz Design and Applications, IEEE Transactions on Components, Packaging and Manufacturing Technology, vol.1, issue.2, pp.260-267, 2011.

S. Pan and F. Capolino, Design of a CMOS On-Chip Slot Antenna With Extremely Flat Cavity at 140 GHz, IEEE Antennas and Wireless Propagation Letters, vol.10, pp.827-830, 2011.

D. Neculoiu, A. Muller, K. Tang, E. Laskin, and S. P. Voinigescu, 160 GHz On-Chip Dipole Antenna Structure in Silicon Technology, 2007 International Semiconductor Conference, vol.1, pp.245-248, 2007.

N. Deferm and P. Reynaert, A 120 GHz Fully Integrated 10 Gb/s Short-Range Star-QAM Wireless Transmitter With On-Chip Bondwire Antenna in 45 nm Low Power CMOS, IEEE Journal of Solid-State Circuits, vol.49, issue.7, pp.1606-1616, 2014.

X. Deng, Y. Li, C. Liu, W. Wu, and Y. Xiong, 340 GHz On-Chip 3-D Antenna With 10 dBi Gain and 80% Radiation Efficiency, IEEE Transactions on Terahertz Science and Technology, vol.5, issue.4, pp.619-627, 2015.

P. Nenzi, F. Tripaldi, V. Varlamava, F. Palma, and M. Balucani, On-chip THz 3D antennas, 2012 IEEE 62nd Electronic Components and Technology Conference, pp.102-108, 2012.

W. H. Syed, G. Fiorentino, D. Cavallo, M. Spirito, P. M. Sarro et al., Design, Fabrication, and Measurements of a 0.3 THz On-Chip Double Slot Antenna Enhanced by Artificial Dielectrics, IEEE Transactions on Terahertz Science and Technology, vol.5, issue.2, pp.288-298, 2015.

R. Allanic, Modélisation et conception de dispositifs accordables sur substrat semiconducteur : étude d'une nouvelle démarche de co-conception, 2015.

C. A. Balanis, Advanced engineering electromagnetics, 2012.

R. Allanic, Y. Quere, D. L. Berre, and C. Quendo, A novel approach to co-design microwave devices with distributed switches, pp.1-4, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01583691

Y. Liu, V. Pano, D. Patron, K. Dandekar, and B. Taskin, Innovative propagation mechanism for inter-chip and intra-chip communication, 2015 IEEE 16th Annual Wireless and Microwave Technology Conference (WAMICON), pp.1-6, 2015.

E. Öjefors, Integrated Antennas : Monolithic and Hybrid Approaches, 2006.

N. Sultana, A. B. Rashid, and M. R. Khan, Effect of Variation of Resistivity and Antenna Distance on Integrated Antenna System in Silicon, 2006 International Conference on Electrical and Computer Engineering, pp.552-555, 2006.

T. Kikkawa, A. Rashid, and S. Watanabe, Effect of silicon substrate on the transmission characteristics of integrated antenna, Wireless Communication Technology, pp.144-145, 2003.

A. Hajimiri, IEEE 2007 Custom Intergrated Circuits Conference (CICC), pp.741-747, 2007.

B. Potelon, Etude et conception de filtres hyperfréquences hybrides planairesvolumiques, 2007.

D. Pozar and M. Engineering, , 2012.

P. F. Combes, Micro-ondes -lignes, guides et cavités, vol.2, 1996.

E. Ojefors, H. Kratz, K. Grenier, R. Plana, and A. Rydberg, Micromachined Loop Antennas on Low Resistivity Silicon Substrates, IEEE Transactions on Antennas and Propagation, vol.54, issue.12, pp.3593-3601, 2006.

J. Yook and L. P. Katehi, Micromachined microstrip patch antenna with controlled mutual coupling and surface waves, IEEE Transactions on Antennas and Propagation, vol.49, issue.9, pp.1282-1289, 2001.

Y. P. Zhang and D. Liu, Antenna-on-Chip and Antenna-in-Package Solutions to Highly Integrated Millimeter-Wave Devices for Wireless Communications, IEEE Transactions on Antennas and Propagation, vol.57, issue.10, pp.2830-2841, 2009.

O. E. Bouayadi, Module wireless 60 GHz intégré en 3D sur silicium, 2015.

R. Wu, A 60-GHz efficiency-enhanced on-chip dipole antenna using helium-3 ion implantation process, 2014 44th European Microwave Conference, pp.108-111, 2014.

X. Zheng, Y. Chen, W. Wang, and S. Yang, Development of Metamaterial EBG Absorbers for Application of Wireless Inter/Intrachip Communication Systems, Metamaterials -Devices and Applications, 2017.

G. Tesserault, Modélisation multi-fréquences du canal de propagation, 2008.

D. W. Matolak, S. Kaya, and A. Kodi, Channel modeling for wireless networks-onchips, IEEE Communications Magazine, vol.51, issue.6, pp.4-180, 2013.

S. H. Gade, S. S. Ram, and S. Deb, Millimeter wave wireless interconnects in deep submicron chips: Challenges and opportunities, Integration, vol.64, pp.127-136, 2019.

Y. Chen and C. Han, Channel modeling and analysis for wireless networks-on-chip communications in the millimeter wave and terahertz bands, IEEE INFOCOM 2018 -IEEE Conference on Computer Communications Workshops (INFOCOM WKSHPS), pp.651-656, 2018.

M. O. Agyeman, Q. Vien, G. Hill, S. Turner, and T. Mak, An Efficient Channel Model for Evaluating Wireless NoC Architectures, 2016 International Symposium on Computer Architecture and High Performance Computing Workshops (SBAC-PADW), pp.85-90, 2016.

Y. P. Zhang, Z. M. Chen, and M. Sun, Propagation Mechanisms of Radio Waves Over Intra-Chip Channels With Integrated Antennas: Frequency-Domain Measurements and Time-Domain Analysis, IEEE Transactions on Antennas and Propagation, vol.55, issue.10, pp.2900-2906, 2007.

P. Pagani, Caractérisation et modélisation du canal de propagation radio en contexte Ultra Large Bande, 2005.

K. Kim, W. Bomstad, and K. O. Kenneth, A plane wave model approach to understanding propagation in an intra-chip communication system, Antennas and Propagation Society International Symposium, vol.2, pp.166-169, 2001.

J. Branch, X. Guo, L. Gao, A. Sugavanam, J. Lin et al., Wireless communication in a flip-chip package using integrated antennas on silicon substrates, IEEE Electron Device Letters, vol.26, issue.2, pp.115-117, 2005.

A. Triantafyllou, High transmission performance integrated antennas on SOI substrate for VLSI wireless interconnects, Proceedings of the IEEE 2005 International Interconnect Technology Conference, pp.80-82, 2005.

X. Guo, R. Li, and K. K. , Design guidelines for reducing the impact of metal interference structures on the performance on-chip antennas, IEEE Antennas and Propagation Society International Symposium. Digest. Held in conjunction with: USNC/CNC/URSI North American Radio Sci. Meeting (Cat. No.03CH37450), vol.1, pp.606-609, 2003.

E. Seok and K. O. Kenneth, Design rules for improving predictability of on-chip antenna characteristics in the presence of other metal structures, Proceedings of the IEEE 2005 International Interconnect Technology Conference, pp.120-122, 2005.

T. L. Gouguec and P. Martin, A 45-GHz wireless transmission for a wireless interconnect network-on-board, 2017 IEEE 21st Workshop on Signal and Power Integrity, pp.1-2, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01583298

C. A. Balanis, Antenna theory: analysis and design, 2005.

F. Fuschini, Ray Tracing Modeling of Electromagnetic Propagation for On-Chip Wireless Optical Communications, JLPEA, vol.8, issue.4, pp.4-181, 2018.

M. Bialkowski and A. Abbosh, Wireless intrachip/interchip interconnections utilising tapered slot antennas for ultra-large-scale integration technology, Antennas Propagation IET Microwaves, vol.4, issue.10, pp.1665-1671, 2010.

L. Yan and G. W. Hanson, Wave Propagation Mechanisms for Intra-Chip Communications, IEEE Transactions on Antennas and Propagation, vol.57, issue.9, pp.2715-2724, 2009.

M. Kadi, F. Ndagijimana, and J. Dansou-eloy, Printed Dipoles Antennas for MCM-L Wireless RF Interconnects, IEEE Transactions on Antennas and Propagation, vol.56, issue.1, pp.223-230, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00397591

K. Kim and . Others, Characteristics of integrated dipole antennas on bulk, SOI, and SOS substrates for wireless communication, Proceedings of the IEEE 1998 International, pp.21-23, 1998.

A. Mineo, M. Palesi, G. Ascia, and V. Catania, Exploiting antenna directivity in wireless NoC architectures, Microprocessors and Microsystems, vol.43, pp.59-66, 2016.

S. H. Gade, S. S. Rout, and S. Deb, On-Chip Wireless Channel Propagation: Impact of Antenna Directionality and Placement on Channel Performance, 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), pp.1-8, 2018.

E. Tavakoli, M. Tabandeh, and S. Kaffash, An optimized phased-array antenna for intra-chip communications, 2011 Loughborough Antennas Propagation Conference, pp.1-4, 2011.

, Plateforme Technologique hyperfréquences TECHYP, p.24, 2019.

D. E. Anagnostou, M. Morton, J. Papapolymerou, and C. G. Christodoulou, A 0-55-GHz Coplanar Waveguide to Coplanar Strip Transition, IEEE Transactions on Microwave Theory and Techniques, vol.56, issue.1, pp.1-6, 2008.

, Infinity Probe -Coaxial, p.20, 2019.

N. G. Alexopoulos, P. B. Katehi, and D. B. Rutledge, Substrate Optimization for Integrated Circuit Antennas, 1982 IEEE MTT-S International Microwave Symposium Digest, pp.190-192, 1982.

C. Gustafson, 60 GHz wireless propagation channels: characterization, modeling and evaluation, 2014.

T. and L. Gouguec, Modelling up to 45 GHz of coupling between microvias and PCB cavities considering several boundary conditions, International Journal of Microwave & Wireless Technology, vol.8, issue.3, pp.421-430, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01358417

T. L. Gouguec, N. Mahdi, S. Cadiou, C. Quendo, and A. L. Fevre, Modélisation jusqu'à 45 GHz des couplages entre microvias et cavités en technologie PCB multicouches quelles que soient les frontières, XIXèmes Journées Nationales Microondes, pp.5-9, 2015.

B. Wu, Experimental demonstration of a transparent graphene millimetre wave absorber with 28% fractional bandwidth at 140 GHz, Scientific Reports, vol.4, issue.1, 2015.

R. S. Narde, J. Venkataraman, and A. Ganguly, Enhancement of Intra-chip Transmission between Wireless Interconnects using Artificial Magnetic Conductors, 2018 IEEE International Symposium on Antennas and Propagation USNC/URSI National Radio Science Meeting, pp.805-806, 2018.

R. Allanic, Impact of the doped areas sizes in the performances of microwave SPST switches integrated in a silicon substrate, 2018 IEEE 22nd Workshop on Signal and Power Integrity (SPI), pp.1-4, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01806272

A. Sellier, Absorbants à métamatériaux: étude théorique et expérimentale, UNIVERSITE PARIS-SUD, 2014.

F. Vecchi, M. Repossi, W. Eyssa, P. Arcioni, and F. Svelto, Design of Low-Loss Transmission Lines in Scaled CMOS by Accurate Electromagnetic Simulations, IEEE Journal of Solid-State Circuits, vol.44, issue.9, pp.2605-2615, 2009.

X. C. Tong, Absorber Materials," in Advanced Materials and Design for Electromagnetic Interference Shielding, pp.237-256, 2016.

Y. Ra'di, C. R. Simovski, and S. A. Tretyakov, Thin Perfect Absorbers for Electromagnetic Waves: Theory, Design, and Realizations, Phys. Rev. Applied, vol.3, issue.3, p.37001, 2015.

W. Wang, Wireless Inter/Intra-Chip Communication Using an Innovative PCB Channel Bounded by a Metamaterial Absorber, IEEE Antennas and Wireless Propagation Letters, vol.15, pp.1634-1637, 2016.

D. Sievenpiper, R. F. Broas, N. G. Alexopolous, and E. Yablonovitch, Highimpedance electromagnetic surfaces with a forbidden frequency band, IEEE Transactions on Microwave Theory and Techniques, vol.47, issue.11, pp.2059-2074, 1999.

I. Molenberg, I. Huynen, A. Baudouin, C. Bailly, J. Thomassin et al., Foamed Nanocomposites for EMI Shielding Applications, Advanced Microwave and Millimeter Wave Technologies Semiconductor Devices Circuits and Systems, 2010.

M. Capelle, J. Billoue, P. Poveda, and G. Gautier, N-Type Porous Silicon Substrates for Integrated RF Inductors, IEEE Transactions on Electron Devices, vol.58, issue.11, pp.4111-4114, 2011.

J. Wu, A. K. Kodi, S. Kaya, A. Louri, and H. Xin, Monopoles Loaded With 3-D-Printed Dielectrics for Future Wireless Intrachip Communications, IEEE Transactions on Antennas and Propagation, vol.65, issue.12, pp.6838-6846, 2017.

L. Marnat, A. A. Carreno, D. Conchouso, M. G. Mart?´nez, I. G. Foulds et al., New Movable Plate for Efficient Millimeter Wave Vertical on-Chip Antenna, IEEE Transactions on Antennas and Propagation, vol.61, issue.4, pp.1608-1615, 2013.

S. , Opportunistic Beamforming in Wireless Network-on-Chip, 2019 IEEE International Symposium on Circuits and Systems (ISCAS), pp.1-5, 2019.

Y. Huang, Q. Wen-yan-yin, and . Liu, Performance Prediction of Carbon Nanotube Bundle Dipole Antennas, IEEE Transactions on Nanotechnology, vol.7, issue.3, pp.331-337, 2008.

M. O. Agyeman, On the Design of Reliable Hybrid Wired-Wireless Networkon-Chip Architectures, 2015 IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, pp.251-258, 2015.

Y. Wang, A. Zhu, and T. J. Brazil, Real-valued discrete-time impulse response representation of bandpass S-parameters, 2016 46th European Microwave Conference (EuMC), pp.991-994, 2016.

J. O. Sosa, C. Roland, and O. Sentieys, Multi-Carrier Spread-Spectrum Transceiver for WiNoC, IEEE Computer Society Annual Symposium on VLSI, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02394890

L. , A 3.1mW 8b 1.2GS/s single-channel asynchronous SAR ADC with alternate comparators for enhanced speed in 32nm digital SOI CMOS, 2013 IEEE International Solid-State Circuits Conference, pp.468-469, 2013.

N. Chatterjee, H. K. Mondal, and J. Diguet, CDMA-based Multiple Multicast communications on WiNOC for efficient parallel computing, NOCS 2019 : 13th IEEE/ACM International Symposium on Networks-on-Chip, pp.1-6, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02408590

V. V. Kulkarni, A 5.1Gb/s 60.3fJ/bit/mm PVT tolerant NoC transceiver, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC), pp.141-144, 2016.
URL : https://hal.archives-ouvertes.fr/in2p3-01023682

S. Kaya, S. Laha, A. Kodi, D. Ditomaso, D. Matolak et al., On ultra-short wireless interconnects for NoCs and SoCs: Bridging the 'THz Gap, 2013 IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.804-808, 2013.

C. Killian, D. Chillet, S. L. Beux, . Van-dung, O. Pham et al., Energy and performance trade-off in nanophotonic interconnects using coding techniques, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC), pp.1-6, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01495468

D. Fritsche, P. Stärke, C. Carta, and F. Ellinger, A Low-Power SiGe BiCMOS 190-GHz Transceiver Chipset With Demonstrated Data Rates up to 50 Gbit/s Using On-Chip Antennas, IEEE Transactions on Microwave Theory and Techniques, vol.65, issue.9, pp.3312-3323, 2017.

J. E. Cunningham, Scaling hybrid-integration of silicon photonics in Freescale 130nm to TSMC 40nm-CMOS VLSI drivers for low power communications, 2012 IEEE 62nd Electronic Components and Technology Conference, pp.1518-1525, 2012.

X. Zheng, Ultra-efficient 10Gb/s hybrid integrated silicon photonic transmitter and receiver, Opt. Express, OE, vol.19, issue.6, pp.5172-5186, 2011.

H. Ito, M. Kimura, K. Miyashita, T. Ishii, K. Okada et al., A Bidirectionaland Multi-Drop-Transmission-Line Interconnect for Multipoint-to-Multipoint On-Chip Communications, IEEE Journal of Solid-State Circuits, vol.43, issue.4, pp.4-184, 2008.

M. Chang, H. Shin, and L. Zhang, RF-interconnect for future interand intra-ULSI communications, International Electron Devices Meeting, 2001.

K. Okada, Full Four-Channel 6.3-Gb/s 60-GHz CMOS Transceiver With Low-Power Analog and Digital Baseband Circuitry, IEEE Journal of Solid-State Circuits, vol.48, issue.1, pp.46-65, 2013.

N. Chatterjee, H. K. Mondal, and J. Diguet, Broadcast Mechanism Based on Hybrid WirelessWired NoC for Efficient Barrier Synchronization in Parallel Computing, 6th ACM International Conference on Nanoscale Computing and Communication, pp.1-6, 2019.
URL : https://hal.archives-ouvertes.fr/hal-02456717