A. Bensky, Fundamentals of rf system design and application second edition, Short Range Wireless Communication, 2009.

D. M. Binkley, Tradeos and optimization in analog cmos design, 14th International Conference on Mixed Design of Integrated Circuits and Systems, p.4760, 2007.

L. G. Callewaert and W. M. Sansen, Class ab cmos ampliers with high eciency, IEEE Journal of Solid-State Circuits, vol.25, issue.3, p.684691, 1990.

R. Dutta, R. Zee, M. J. Bentum, and A. B. Kokkeler, Choosing optimum noise gure and data rate in wireless sensor network radio transceivers, 2011 IEEE International Conference on Communications (ICC), p.15, 2011.

Y. H. Chee, Ultra low pawer transmitters for wireless sensor networks, 2006.

C. Enz and M. A. Chalkiadaki, Nanoscale mosfet modeling for low-power rf design using the inversion coecient, 2015 Asia-Pacic Microwave Conference (APMC), vol.1, p.13, 2015.

, Electronic Communication Committeed ECC, ERC recommendations for short wireless devices, 2017.

C. Enz, N. Scolari, and U. Yodprasit, Ultra low-power radio design for wireless sensor networks, 2005 IEEE International Wkshp on Radio-Frequency Integration Technology: Integrated Circuits for Wideband Comm Wireless Sensor Networks, p.117, 2005.

C. C. Enz and E. A. Vittoz, Cmos low-power analog circuit design, Emerging Technologies: Designing Low Power Digital Systems, pp.79-133, 1996.

W. Fu and A. Fayed, A self-regulated 588 mhz buck regulator with on-chip passives and circuit stung in 65nm, p.338341, 2014.

J. Guo and K. N. Leung, A 6-µ w chip-area-ecient output-capacitorless ldo in 90-nm cmos technology, IEEE Journal of Solid-State Circuits, vol.45, issue.9, p.18961905, 2010.

A. D. Grasso, D. Marano, G. Palumbo, and S. Pennisi, Design methodology of subthreshold three-stage cmos otas suitable for ultra-low-power low-area and high driving capability, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.62, issue.6, p.14531462, 2015.

A. D. Grasso, G. Palumbo, and S. Pennisi, Comparison of the frequency compensation techniques for cmos two-stage miller otas, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.55, p.10991103, 2008.

G. Giustolisi, G. Palumbo, and E. Spitale, Robust miller compensation with current ampliers applied to ldo voltage regulators, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.59, p.18801893, 2012.

A. Garimella, M. W. Rashid, and P. M. Furth, Reverse nested miller compensation using current buers in a three-stage ldo, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.57, issue.4, p.250254, 2010.

T. C. Huang, C. Y. Hsieh, Y. Y. Yang, Y. H. Lee, Y. C. Kang et al., A battery-free 217 nw static control power buck converter for wireless rf energy harvesting with ? -calibrated dynamic on/o time and adaptive phase lead control, IEEE Journal of Solid-State Circuits, vol.47, issue.4, p.852862, 2012.

A. Hajimiri, S. Limotyrakis, and T. H. Lee, Jitter and phase noise in ring oscillators, IEEE Journal of Solid-State Circuits, vol.34, issue.6, p.790804, 1999.

E. N. Ho and P. K. Mok, A capacitor-less cmos active feedback low-dropout regulator with slew-rate enhancement for portable on-chip application, IEEE Transactions on Circuits and Systems II: Express Briefs, vol.57, issue.2, p.8084, 2010.

Y. Han and D. J. Perreault, Analysis and design of high eciency matching networks, IEEE Transactions on Power Electronics, vol.21, issue.5, p.14841491, 2006.

T. Hirose, K. Ueno, N. Kuroki, and M. Numa, A cmos bandgap and subbandgap voltage reference circuits for nanowatt power lsis, 2010 IEEE Asian Solid-State Circuits Conference, p.14, 2010.

S. Jeong, I. Lee, D. Blaauw, and D. Sylvester, A 5.8 nw cmos wake-up timer for ultra-low-power wireless applications, IEEE Journal of Solid-State Circuits, vol.50, issue.8, p.17541763, 2015.

R. Karli, A. Bouchalkha, and K. Alhammadi, Power consumption and battery life study of a two-node wireless sensor system, 5th International Conference on Electronic Devices, Systems and Applications (ICEDSA), p.14, 2016.

W. Kim, D. Brooks, and G. Y. Wei, A fully-integrated 3-level dc-dc converter for nanosecond-scale dvfs, IEEE Journal of Solid-State Circuits, vol.47, issue.1, p.206219, 2012.

K. Kim, J. Choi, M. Seo, and S. Nam, 500 mhz ook transmitter with 22 pj/bit, 38.4current combining, IEEE Microwave and Wireless Components Letters, vol.24, issue.6, p.424426, 2014.

K. Keikhosravy and S. Mirabbasi, A 0.13-µm cmos low-power capacitorless ldo regulator using bulk-modulation technique, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.61, issue.11, p.31053114, 2014.

S. J. Kim, C. S. Park, and S. G. Lee, A 2.4-ghz ternary sequence spread spectrum ook transceiver for reliable and ultra-low power sensor network applications, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.64, p.29762987, 2017.
URL : https://hal.archives-ouvertes.fr/in2p3-00084372

E. Lefeuvre, D. Audigier, C. Richard, and D. Guyomar, Buck-boost converter for sensorless power optimization of piezoelectric energy harvester, IEEE Transactions on Power Electronics, vol.22, issue.5, p.20182025, 2007.

Y. H. Liu, A. Ba, J. H. Van-den-heuvel, K. Philips, G. Dolmans et al., 5 a 1.2nj/b 2.4ghz receiver with a sliding-if phase-to-digital converter for wireless personal/body-area networks, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), vol.9, p.166167, 2014.
URL : https://hal.archives-ouvertes.fr/hal-02330941

Y. H. Liu, C. Bachmann, X. Wang, Y. Zhang, A. Ba et al., 4/proprietary soc with an adpll-based fast frequency oset compensation in 40nm cmos, 2015 IEEE International Solid-State Circuits Conference -(ISSCC) Digest of Technical Papers, vol.13, p.13, 2015.

C. Li, M. Crepaldi, and J. R. Fernandes, Power consumption trade-os in short-distance wireless transceivers for sensor nodes, p.189193, 2009.

G. Li, J. Guo, Y. Zheng, M. Huang, and D. Chen, Cascoded ipped voltage follower based output-capacitorless low-dropout regulator for socs, 28th IEEE International System-on-Chip Conference (SOCC), vol.368, p.373, 2015.

T. H. Lee and A. Hajimiri, Oscillator phase noise: a tutorial, IEEE Journal of Solid-State Circuits, vol.35, issue.3, p.326336, 2000.

J. Lee, G. Hatcher, L. Vandenberghe, and C. K. Yang, Evaluation of fully-integrated switching regulators for cmos process technologies, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.15, issue.9, pp.1017-1027, 2007.

A. J. Lopez-martin, S. Baswa, J. Ramirez-angulo, and R. G. , Low-voltage super class ab cmos ota cells with very high slew rate and power eciency, IEEE Journal of Solid-State Circuits, vol.40, issue.5, p.10681077, 2005.

S. K. Lau, P. K. Mok, and K. N. Leung, A low-dropout regulator for soc with q -reduction, IEEE Journal of Solid-State Circuits, vol.42, issue.3, p.658664, 2007.

E. Y. Lin, J. M. Rabaey, S. Wiethoelter, and A. Wolisz, Receiver initiated rendezvous schemes for sensor networks, GLOBECOM '05. IEEE Global Telecommunications Conference, vol.5, p.3122, 2005.

X. Liu and E. Sánchez-sinencio, An 86system with hysteresis regulation and time-domain mppt for iot smart nodes, IEEE Journal of Solid-State Circuits, vol.50, issue.6, p.14241437, 2015.

A. Mazzanti and P. Andreani, Class-c harmonic cmos vcos, with a general result on phase noise, IEEE Journal of Solid-State Circuits, vol.43, issue.12, pp.2716-2729, 2008.

P. P. Mercier, S. Bandyopadhyay, A. C. Lysaght, K. M. Stankovic, and A. P. Chandrakasan, A 78 pw 1 b/s 2.4 ghz radio transmitter for near-zero-power sensing applications, Proceedings of the ESSCIRC (ESSCIRC), p.133136, 2013.

B. Mishra, C. Botteron, G. Tasselli, C. Robert, and P. A. Farine, A sub-µa power management circuit in 0.18 µm cmos for energy harvesters, 2013.

. Design, Automation Test in Europe Conference Exhibition (DATE), p.11971202, 2013.

O. E. Mattia, H. Klimach, and S. Bampi, 0.9 v, 5 nw, 9 ppm/oc resistorless sub-bandgap voltage reference in 0.18 µm cmos, IEEE 5th Latin American Symposium on Circuits and Systems, p.14, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01885926

I. Mahbub, S. A. Pullano, H. Wang, S. K. Islam, A. S. Fiorillo et al., A low-power wireless piezoelectric sensor-based respiration monitoring system realized in cmos process, IEEE Sensors Journal, vol.17, issue.6, p.18581864, 2017.

H. Okuni, A. Sai, T. T. Ta, S. Kondo, T. Tokairin et al., 26.1 a 5.5mw adpll-based receiver with hybrid-loop interference rejection for ble application in 65nm cmos, 2016 IEEE International Solid-State Circuits Conference (ISSCC), p.436437, 2016.

G. Papotto, F. Carrara, A. Finocchiaro, and G. Palmisano, A 90-nm cmos 5-mbps crystal-less rf-powered transceiver for wireless sensor network nodes, IEEE Journal of Solid-State Circuits, vol.49, issue.2, p.335346, 2014.

J. Pandey and B. P. Otis, A sub-100 µ w mics/ism band transmitter based on injection-locking and frequency multiplication, IEEE Journal of Solid-State Circuits, vol.46, issue.5, p.10491058, 2011.

C. J. Park, M. Onabajo, and J. Silva-martinez, External capacitor-less low drop-out regulator with 25 db superior power supply rejection in the 0.4-4 Mhz range, IEEE Journal of Solid-State Circuits, vol.49, issue.2, p.486501, 2014.

J. Prummel, M. Papamichail, M. Ancis, J. Willms, R. Todi et al., 13.3 a 10mw bluetooth low-energy transceiver with on-chip matching, 2015 IEEE International Solid-State Circuits Conference -(ISSCC) Digest of Technical Papers, p.13, 2015.

, ransmitter architectures and circuits, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327), pp.197-204, 1999.

M. A. Rojas-gonzález, J. Torres, and E. Sánchez-sinencio, Design of a fully-integrated buck voltage regulator using standard cmos technology, p.14, 2012.

M. Raju and M. Grazier, Ulp meets energy harvesting: A game-changing combination for design enginners, Texas Instrument, White Paper, 2010.

D. Rozgi¢ and D. Markovi¢, A miniaturized 0.78-mw/cm2 autonomous thermoelectric energy-harvesting platform for biomedical sensors, IEEE Transactions on Biomedical Circuits and Systems, issue.99, p.111, 2017.

S. Singhal, N. Gaur, A. Mehra, and P. Kumar, Analysis and comparison of leakage power reduction techniques in cmos circuits, 2nd International Conference on Signal Processing and Integrated Networks (SPIN), p.936944, 2015.

A. Shameli and P. Heydari, Ultra-low power rc design using moderately inverted mosfets: an analytical/experimental study, IEEE Radio Frequency Integrated Circuits (RFIC) Symposium, 2006.

M. Taghivand, M. Ghahramani, and M. P. Flynn, A low voltage sub 300 µw 2.5Ghz current reuse vco, 2012 IEEE Asian Solid State Circuits Conference (A-SSCC), p.369372, 2012.

J. Tan, W. S. Liew, C. H. Heng, and Y. Lian, A 2.4 Ghz ulp recongurable asymmetric transceiver for single-chip wireless neural recording ic, IEEE Transactions on Biomedical Circuits and Systems, vol.8, issue.4, p.497509, 2014.
URL : https://hal.archives-ouvertes.fr/in2p3-00084372

R. Thirunarayanan, D. Rueux, and C. Enz, Reducing energy dissipation in ulp systems: Pll-free fbar-based fast startup transmitters, IEEE Transactions on Microwave Theory and Techniques, vol.63, p.11101117, 2015.

R. Thirunarayanan, D. Rueux, and C. Enz, Reducing energy dissipation in ulp systems: Pll-free fbar-based fast startup transmitters, IEEE Transactions on Microwave Theory and Techniques, vol.63, p.11101117, 2015.

T. Taris, A. H. Shirazi, and S. Mirabbasi, Design of low power cmos rf building blocks, 2015 Asia-Pacic Microwave Conference (APMC), vol.1, p.13, 2015.

K. Tien, N. Sturcken, N. Wang, J. W. Nah, B. Dang et al., An 82on-chip magnetic inductors, Symposium on VLSI Circuits (VLSI Circuits), pp.192-193, 2015.

W. Y. Toh, Y. K. Tan, W. S. Koh, and L. Siek, Autonomous wearable sensor nodes with exible energy harvesting, IEEE Sensors Journal, vol.14, issue.7, p.22992306, 2014.

K. Ueno, T. Hirose, T. Asai, and Y. Amemiya, A 300 nw, 15 ppm/ ? c, p.20

, ppm/v cmos voltage reference circuit consisting of subthreshold mosfets, IEEE Journal of Solid-State Circuits, vol.44, issue.7, p.20472054, 2009.

V. N. Vanukuru, High-Q inductors utilizing thick metals and densetapered spirals, IEEE Transactions on Electron Devices, vol.62, issue.9, p.30953099, 2015.

M. Vidojkovic, X. Huang, P. Harpe, S. Rampu, C. Zhou et al., A 2.4 ghz ulp ook single-chip transceiver for healthcare applications, IEEE Transactions on Biomedical Circuits and Systems, vol.5, issue.6, p.523534, 2011.

M. Vidojkovic, X. Huang, P. Harpe, S. Rampu, C. Zhou et al., A 2.4 ghz ulp ook single-chip transceiver for healthcare applications, IEEE Transactions on Biomedical Circuits and Systems, vol.5, issue.6, p.523534, 2011.

M. Vidojkovic, X. Huang, P. Harpe, S. Rampu, C. Zhou et al., A 2.4 ghz ulp ook single-chip transceiver for healthcare applications, IEEE Transactions on Biomedical Circuits and Systems, vol.5, issue.6, p.523534, 2011.

G. , D. Vita, and G. Iannaccone, A sub-1-v, 10 ppm/ circi c, nanopower voltage reference generator, IEEE Journal of Solid-State Circuits, vol.42, issue.7, pp.1536-1542, 2007.

E. Vittoz and O. Neyroud, A low-voltage cmos bandgap reference, ESSCIRC 78: 4th European Solid State Circuits Conference -Digest of Technical Papers, p.139141, 1978.

J. Wibben and R. Harjani, A high-eciency dc-dc converter using 2 nh integrated inductors, IEEE Journal of Solid-State Circuits, vol.43, issue.4, p.844854, 2008.

P. H. Woerlee, M. J. Knitel, R. Van-langevelde, D. B. Klaassen, L. F. Tiemeijer et al., A. Zegers van Duijnhoven. Rf-cmos performance trends, IEEE Transactions on Electron Devices, vol.48, issue.8, pp.1776-1782, 2001.
URL : https://hal.archives-ouvertes.fr/jpa-00227953

P. Y. Wu, S. Y. Tsui, and P. K. Mok, Area-and power-ecient monolithic buck converters with pseudo-type iii compensation, IEEE Journal of Solid-State Circuits, vol.45, issue.8, p.14461455, 2010.

Z. G. Wan, Y. K. Tan, and C. Yuen, Review on energy harvesting and energy management for sustainable wireless sensor networks, 2011 IEEE 13th International Conference on Communication Technology, vol.362, p.367, 2011.

S. Yun, S. Shin, H. Choi, and S. Lee, A 1mw current-reuse cmos dierential lc-vco with low phase noise, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, vol.1, p.540616, 2005.

M. Zargham and P. G. Gulak, Fully-integrated, power-ecient regulator and bandgap circuits for wireless-powered biomedical applications, 2012 BIBLIOGRAPHY IEEE International Symposium on Circuits and Systems, p.28732876, 2012.

C. Zheng and D. Ma, Design of monolithic cmos ldo regulator with d 2 coupling and adaptive transmission control for adaptive wireless powered bio-implants, IEEE Transactions on Circuits and Systems II: Regular Papers, vol.58, p.23772387, 2011.