L. Forest, , vol.841387, 1906.

J. S. Lilienfeld, , vol.1745175, 1925.

J. Bardeen and W. H. Brattain, The Transistor, A Semi-Conductor Triode, Physics Review, vol.74, pp.230-231, 1948.

D. Kahng and M. M. Attala, Silicon-silicon dioxide field induced surface devices, IRE-AIEE Solide State Device Res. Conf, 1960.

G. E. Moore, Cramming more component onto integrated circuits, IEEE SolidState Circuits Society Newsletter, vol.11, issue.5, pp.33-35, 1965.

P. Mclellan, The Scariest Graph I've Seen Recently, 2012.

M. Bruel, B. Aspar, and A. Auberton-hervé, Smart-Cut: A New Silicon On Insulator Material Technology Based on Hydrogen Implantation and Wafer Bonding, Japanese journal of applied physics, vol.36, issue.3S, pp.1636-1641, 1997.

G. Raju, Dielectrics in Electric Fields. New-York (États-Unis): Marcel Dekker, p.37, 2003.

S. H. Lo, IEEE Electron Device Letters, vol.18, 1997.

J. H. Stathis and D. J. , DiMaria in Electron Devices Meeting, 1998. IEDM '98, 1998.

J. Robertson, Band offsets of wide-band-gap oxides and implications for future electronic devices, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.3, pp.1785-1791, 2000.

J. Robertson and C. W. Chen, Schottky barrier heights of tantalum oxide, barium strontium titanate, lead titanate, and strontium bismuth tantalate, Applied Physics Letters, vol.74, issue.8, pp.1168-1170, 1999.

J. Robertson, High dielectric constant oxides, The european Physical Journal Applied Physics, vol.28, pp.265-291, 2004.

J. H. Choi, Development of hafnium based high-k materials-A review, Materials Science and Engineering: R: Reports, vol.72, issue.6, pp.97-136, 2011.

E. Gerritsen, Evolution of materials technology for stacked-capacitorsin 65 nm embedded-DRAM, Contexte historique et scientifique du sujet -plan de l'étude, vol.49, pp.1767-1775, 2005.

G. D. Wilk, R. M. Wallace, and J. M. Anthony, High-? gate dielectrics: Current status and materials properties considerations, Applied Physics Letters, vol.89, p.5243, 2001.

S. Lo, D. A. Buchanan, Y. Taur, and W. I. Wang, Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFET's, Electron Device Letters, IEEE, vol.18, issue.5, pp.209-211, 1997.

, Roadmap for Semiconductors -Process Integration, Devices, and Structures, ITRS, 2003.

N. S. Kim, Leakage current: Moore's law meets static power, Computer, vol.36, issue.12, pp.68-75, 2003.

H. Iwaia and S. Ohmib, Trend of CMOS downsizing and its reliability, Microelectronics Reliability, vol.42, pp.1251-1258, 2002.

J. Robertson, High dielectric constant gate oxides for metal oxide Si transistors, Reports on Progress in PhysicsEmail alert RSS feed, vol.69, issue.2, p.327, 2006.

K. J. Hubbard and D. G. Schlom, Thermodynamic stability of binary oxides in contact with silicon, Journal of Materials Research, vol.11, issue.11, pp.2757-2776, 1996.

P. W. Peacock and J. Robertson, Band offsets and Schottky barrier heights of high dielectric constant oxides, Journal of Applied Physics, vol.92, issue.2, pp.4712-4711, 2002.

T. Mark, R. S. Bohr, T. Chau, K. Ghani, and . Mistry, The high-k solution, | IEEE Spectrum, vol.44, issue.10, pp.30-35, 2007.

S. Takagi, On the Universality of Inversion Layer Mobility in Si MOSFET's: Part I-Effects of Substrate Impurity Concentration, IEEE Transactions on Electronic Devices, vol.41, issue.12, pp.2357-2362, 1994.

K. Torii, Y. Shimamoto, and S. Saito, Effect of interfacial oxide on electron mobility in metal insulator semiconductor field effect transistors with Al 2 O 3 gate dielectrics, Microelectronic Engineering, vol.65, issue.4, pp.447-453, 2003.

S. Saito, D. Hisamoto, S. Kimura, and M. Hiratani, Unified Mobility Model for High-lc Gate Stacks, IEDM '03 Technical Digest, 2003.

V. Massimo, D. A. Fischetti, E. A. Neumayer, and . Cartier, Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-? insulator: The role of remote phonon scattering, Journal of Applied Physics, vol.90, issue.9, p.4587, 2001.

K. Shin-ichi-saito, Y. Torii, and . Shimamoto, Effects of remotesurfaceroughness scattering on carrier mobility in field-effect-transistors with ultrathin gate dielectrics, Contexte historique et scientifique du sujet -plan de l'étude, vol.84, p.1395, 2003.

F. Gamiz and J. B. Roldan, Scattering of electrons in silicon inversion layers by remote surface roughness, Journal of Applied Physics, vol.94, issue.1, pp.392-399, 2003.

M. M. Frank, M. L. Green, and G. D. Wilk, Enhanced initial growth of atomiclayer-deposited metal oxides on hydrogen-terminated silicon, Applied Physics Letters, vol.83, issue.4, pp.740-742, 2003.

J. C. Hackley, T. Gougousi, and J. D. Demaree, Nucleation of HfO 2 atomic layer deposition films on chemical oxide and H-terminated Si, Journal of Applied Physics, vol.102, issue.3, pp.34101-034107, 2007.

R. Chau, Gate Dielectric Scaling for High-Performance CMOS: from SiO 2 /PolySi to High-K/Metal-Gate, 2003.

F. Christopher, A. Hobbs, and . Knizhnik, Fermi-Level Pinning at the Polysilicon / Metal Oxide Interface -Part I, IEEE Transactions on Electron Devices, vol.51, issue.6, pp.971-977, 2004.

R. Chau, The high-k solution, IEEE Spectrum, pp.30-35, 2007.

R. Chau, High-k/Metal-Gate Stack and Its MOSFET Characteristics, IEEE Electron device letters, vol.25, issue.6, pp.408-410, 2004.

H. Wen, P. Lysaght, and H. N. Alshareef, Thermal response of Ru electrodes in contact with SiO 2 and Hf-based high-k gate dielectrics, Journal of Applied Physics, vol.98, issue.4, p.43520, 2005.

J. Colinge, F. , O. Multi-gate, and . Transistors, , 2008.

D. A. Neamen, Semiconductor Physics And Devices: Basic Principles, 2011.

H. Wong and H. Iwai, On the scaling issues and high-k replacement of ultrathin gate dielectrics for nanoscale MOS transistors, Microelectronic Engineering, vol.83, pp.1867-1904, 2006.

S. Ferrari and G. Scarel, Oxygen diffusion in atomic layer deposited ZrO 2 and HfO 2 thin films on Si (100), Journal of Applied Physics, vol.96, issue.1, pp.144-148, 2004.

L. Ragnarsson, Ultrathin EOT high-?/metal gate devices for future technologies: Challenges, achievements and perspectives, Microelectronic Engineering, vol.88, issue.7, pp.1317-1322, 2011.

V. Cosnier, Understanding of the thermal stability of the hafnium oxide/TiN stack via 2 "high k" and 2 metal deposition techniques, Contexte historique et scientifique du sujet -plan de l'étude, vol.84, pp.1886-1889, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00463073

. Itrs, Process Integration, Devices, and Structures, 2012.

J. Robertson, O. Sharia, and A. Demkov, Fermi level pinning by defects in HfO 2 metal gate stacks, Applied Physics Letters, vol.91, issue.13, p.132912, 2007.

D. G. Schlom, S. Guha, and S. Datta, Gate Oxides Beyond SiO 2, MRS Bulletin, vol.33, issue.11, pp.1014-1025, 2008.

J. Byoung-hun-lee, H. Oh, R. Huang-tseng, H. Jammy, and . Huff, Gate stack technology for nanoscale devices, Materials Today, vol.9, issue.6, pp.32-40, 2006.

E. Gusev, D. Buchanan, and E. Cartier, Ultrathin high-K gate stacks for advanced CMOS devices, Electron Devices Meeting, 2001. IEDM '01, p.20, 2001.

K. Shiraishi, Oxygen Vacancy Induced Substantial Threshold Voltage Shifts in the Hf-based High-K MISFET with p+poly-Si Gates -A Theoretical Approach, Japanese Journal of Applied Physics, vol.43, issue.11A, pp.1413-1415, 2004.

E. Cartier, V. Mcfeely, P. Narayanan, B. Jamison, and . Linder, Role of oxygen vacancies in Vfb//Vt stability of pFET metals on HfO 2, VLSI Technology, 2005. Digest of Technical Papers. 2005 Symposium on, pp.230-231, 2005.

K. Akiyama, Roles of oxygen vacancy in HfO 2 ultra-thin SiO 2 gate stacks -Comprehensive understanding of VFB roll-off, 2008 Symposium on VLSI Technology, pp.80-81, 2008.

K. Kita and A. Toriumi, Origin of electric dipoles formed at high-k/SiO[sub 2] interface, Applied Physics Letters, vol.94, issue.13, p.132902, 2009.

G. Bersuker, Origin of the Flatband-Voltage Roll-Off Phenomenon in Metal/High-Gate Stacks, ESSDERC 2008 38th European SolidState Device Research Conference, vol.57, pp.2047-2056, 2010.

J. Robertson, Band alignment at metal-semiconductor and metal-oxide interfaces, Physica Status Solidi (a), vol.207, issue.2, pp.261-269, 2010.

S. Guha and V. Narayanan, Oxygen Vacancies in High Dielectric Constant Oxide-Semiconductor Films, Physical Review Letters, vol.98, issue.19, p.196101, 2007.

S. J. Clark, L. Lin, and J. Robertson, On the identification of the oxygen vacancy in HfO 2, Contexte historique et scientifique du sujet -plan de l'étude, vol.88, pp.1464-1466, 2011.

P. Broqvist, A. Alkauskas, and A. Pasquarello, Band alignments and defect levels in Si-HfO 2 gate stacks: Oxygen vacancy and Fermi-level pinning, Applied Physics Letters, vol.92, issue.13, p.132911, 2008.

D. Liu and J. Robertson, Oxygen vacancy levels and interfaces of Al 2 O 3, Microelectronic Engineering, vol.86, issue.7-9, pp.1668-1671, 2009.

K. Tse, D. Liu, K. Xiong, and J. Robertson, Oxygen vacancies in high-k oxides, Microelectronic Engineering, vol.84, issue.9, pp.2028-2031, 2007.

X. H. Zheng, Origin of flat-band voltage sharp roll-off in metalgate/high-k/ultrathin-SiO 2 / Si p-channel metal-oxide-semiconductor stacks, Applied Physycs Letters, vol.97, p.132908, 2010.

E. Oudot, « Oxydes métalliques pour la passivation de l'interface Si / SiO 2 des capteurs d'images CMOS

A. Uedono, Oxygen-related defects in O+-implanted 6H-SiC studied by a monoenergetic positron beam, Journal of Applied Physics, vol.86, issue.10, p.5392, 1999.

A. Uedono, Characterization of HfSiON gate dielectrics using monoenergetic positron beams, Journal of Applied Physics, vol.99, issue.5, p.54507, 2006.

A. Uedono, Vacancy-impurity complexes in polycrystalline Si used as gate electrodes of HfSiON-based metal-oxide-semiconductors probed using monoenergetic positron beams, Journal of Applied Physics, vol.100, issue.3, p.34509, 2006.

R. W. Siegel, Positron Annihilation Spectroscopy, Annual Review of Materials Science, vol.10, pp.393-425, 1980.

D. W. Gidley, H. Peng, and R. S. Vallery, Positron annihilation as a method to characterize porous materials, Annual Review of Materials Research, vol.36, pp.49-79, 2006.

J. Price, G. Bersuker, and P. S. Lysaght, Identification of electrically active defects in thin dielectric films by spectroscopic ellipsometry, Journal of Applied Physics, vol.111, issue.4, p.43507, 2012.

A. Uedono, Introduction of defects into HfO 2 gate dielectrics by metal-gate deposition studied using x-ray photoelectron spectroscopy and positron annihilation, Journal of Applied Physics, vol.100, issue.6, p.64501, 2006.

T. L. Duan, H. Y. Yu, L. Wu, Z. R. Wang, and Y. L. Foo, Investigation of HfO 2 highk dielectrics electronic structure on SiO 2 /Si substrate by x-ray photoelectron spectroscopy, Applied Physics Letters, vol.99, issue.1, p.12902, 2011.

M. Morita, Growth of native oxide on a silicon surface, Journal of Applied Physics, vol.68, issue.3, p.1272, 1990.

W. Kern, The Evolution of Silicon Wafer Cleaning Technology, Journal of the Electrochemical Society, vol.137, issue.6, pp.1890-1891, 1990.

O. Bonnin, Single-wafer/mini-batch approach for fast cycle time in advanced 300-mm fab, IEEE Transactions on Semiconductor Manufacturing, vol.16, issue.2, pp.111-120, 2003.

T. Hattori, Novel Single-Wafer Single-Chamber Dry and Wet Hybrid System for Stripping and In Situ Cleaning of High-Dose Ion-Implanted Photoresists, IEEE Transactions on Semiconductor Manufacturing, vol.22, issue.4, pp.468-474, 2009.

T. Suntola, Atomic layer epitaxy, Materials Science Reports, vol.4, issue.5, pp.261-312, 1989.

M. Leskelä, Atomic layer deposition (ALD): from precursors to thin film structures, Thin Solid Films, vol.409, pp.138-146, 2002.

H. Hertz, Ueber einen Einfluss des ultravioletten Lichtes auf meurent electrische Entladung, Annalen der Physik, vol.267, issue.8, p.1887

A. Einstein, über einen die erzeugung und verwandlung des lichtes betreffenden heuristischen gesichtspunkt, Annalen der Physik, vol.17, issue.6, pp.132-148, 1905.

K. Siegbahn, C. Nordling, and E. Sokolowski, Precision Method for Obtaining Absolute Values of Atomic Binding Energies, Physical Review, vol.105, issue.5, pp.1676-1677, 1957.

, Nobel Foundation. The Official Web Site of the Nobel Prize

D. Briggs and M. P. Seah, Auger and X-ray Photoelectron Spectroscopy, Practical Surface Analysis, vol.1, 1990.

D. and T. Minh, Analyse de surface par ESCA, Principe et instrumentation, p.2625, 1998.

J. J. Yeh and I. Lindau, Atomic subshell photoionization cross sections and asymmetry parameters: 1 ? Z ? 103, Atomic Data and Nuclear Data Tables, vol.32, pp.1-155, 1985.

, Microfocus X-ray Monochromator, Thermo Fisher scientific, 2008.

P. J. Cumpson and M. P. Seah, Elastic Scattering Corrections in AES and XPS. II. Estimating Attenuation Lengths and Conditions Required for their Valid Use in Overlayer/Substrate Experiments, Surface and Interface Analysis, vol.25, pp.430-446, 1997.

S. Tanuma, C. J. Powell, and D. R. Penn, Calculations of electron inelastic mean free paths for 31 materials, Surface and Interface Analysis, vol.11, issue.11, pp.577-589, 1988.

S. Tanuma, C. J. Powell, and D. R. Penn, Calculations of electron inelastic mean free paths. V. Data for 14 organic compounds over the 50-2000 eV range, Surface and Interface Analysis, vol.21, issue.3, pp.165-176, 1994.

M. Wilson, J. Lagowski, L. Jastrzebski, A. Savtchouk, and V. Faifer, COCOS (corona oxide characterization of semiconductor) non-contact metrology for gate dielectrics, AIP Conference Proceedings, vol.550, pp.220-225, 2001.

P. Edelman, Contact potential difference methods for full wafer characterization of Si/SiO 2 interface defects induced by plasma processing," presented at the In-Line Characterization Techniques for Performance and Yield Enhancement in Microelectronic Manufacturing II, vol.3509, pp.126-137, 1998.

D. K. Schroder, Surface voltage and surface photovoltage: history, theory and applications, Meas. Sci. Technol, vol.12, issue.3, p.16, 2001.

D. K. Schroder, Contactless surface charge semiconductor characterization, Materials Science and Engineering: B, pp.196-210, 2002.

A. M. Hoff, D. K. Debusk, and R. W. Schanzer, COCOS oxide film characterization and monitoring, presented at the In-Line Methods and Monitors for Process and Yield Improvement, vol.3884, pp.207-216, 1999.

H. Mathieu, Livre : Physiques des semi-conducteurs et des composants électroniques, 2001.

R. Southwick, M. Ogas, and W. B. Knowlton, Interactive dual oxide MOS energy band diagram program, IEEE International Integrated Reliability Workshop, 2005.

A. Uedono and A. , Introduction of defects into HfO 2 gate dielectrics by metal-gate deposition studied using x-ray photoelectron spectroscopy and positron annihilation, Journal of Applied Physics, vol.100, 2006.

T. L. Duan, Investigation of HfO 2 high k dielectrics electronic structure on SiO 2 /Si substrate by x-ray photoelectron spectroscopy, Applied Physics Letters, vol.99, 2011.

S. Oswald and S. Baunack, Application of XPS and factor analysis for non-conducting materials, Surface and interface Analysis, vol.25, 1998.

Y. Wang, K. Kusumoto, and C. Li, XPS analysis of SiC films prepared by radio frequency plasma sputtering, Physics Procedia, vol.32, 2012.

. Bibliographie,

A. Kechichian, Impact de l'environnement du diélectrique sur les performances du transistor pour les noeuds technologiques de 32 nm à 14 nm

H. Sezen and S. Suzer, XPS for chemical-and charge-sensitive analyses, Thin Solids Films, vol.534, 2013.

J. B. Metson, Charge compensation and binding energy referencing in XPS analysis, Surface and interface Analysis, vol.27, 1999.

K. Kakushima, K. Okamoto, and M. Adachi, Origin of flat band voltage shift in HfO 2 gate dielectric with La 2 O 3 insertion, Solid-State Electronics, vol.52, issue.9, p.12801284, 2008.

K. Kita and A. Toriumi, Origin of electric dipoles formed at high-k/SiO 2 interface, Applied Physics Letters, vol.94, issue.13, p.132902, 2009.

J. Robertson, Band alignment at metal-semiconductor and metal-oxide interfaces, Physica Status Solidi (a), vol.207, issue.2, pp.261-269, 2010.

P. Mcintyre, Bulk and Interfacial Oxygen Defects in HfO 2 Gate Dielectric Stacks: A Critical Assessment, ECS Trans, vol.11, issue.4, pp.235-249, 2007.

M. Ho, Morphology and crystallization kinetics in HfO 2 thin films grown by atomic layer deposition, Journal of Applied Physics, vol.93, issue.3, pp.1477-1481, 2003.

T. C. Chen, Characterization of the Ultrathin HfO 2 and HfSilicate Films Grown by Atomic Layer Deposition, IEEE Transactions on Electron Devices, vol.54, issue.4, pp.759-766, 2007.

. Fan, Physical Properties and electrical characteristics of H 2 O-based and O 3 -based HfO2 films deposited by ALD, Microelectronics Reliability, vol.52, pp.1043-1049, 2012.

E. Oudot, Oxydes métalliques pour la passivation de l'interface Si/SiO 2 des capteurs d'images CMOS, 2018.

. Modreanu, Investigations of thermal annealing effects on microstructural and optical properties of HfO 2 thin films, Applied Surface Science, vol.253, pp.328-334, 2006.

. Modreanu, Solid Phase Cristallisation of HfO 2 thin films, Material Science and Engineering, vol.118, pp.127-131, 2005.

. Martinez, Optical properties and structure of HfO 2 thin films grown by high pressure reactive sputtering, J. Appl. Phys, vol.40, pp.5256-5265, 2007.

J. Robertson, High dielectric constant oxides, Eur. Phys. J. Appl. Phys, vol.28, pp.265-291, 2004.

. Lee, Thermal stability and electrical characteristics of ultrathin hafnium oxide gate dielectric reoxidized with rapid thermal annealing, Appl. Phys. Lett, vol.76, 1926.

D. A. Neumayer and E. Cartier, Materials characterization of binary oxides deposited by chemical solution deposition, Journal of Applied Physics, vol.90, pp.1801-1809, 2001.

C. Gaumer, Impact Of TiN electrode deposition on the HfO 2 band gap for advanced MOSFETs gate stacks, Microelectronic Engineering, vol.88, pp.72-75, 2011.

S. Ferrari and G. Scarel, Oxygen diffusion in atomic layer deposited ZrO 2 and HfO 2 thin films on Si (100), Journal of Applied Physics, vol.96, issue.1, pp.144-148, 2004.

. Choi, Effect of annealing conditions on hafnium dioxide reinforced SiO 2 gate dielectric deposited by plasma enhanced metallorganic CVD, Journal of the electrochemical Society, vol.149, pp.118-121, 2002.

V. Cosnier, Understanding of the thermal stability of the hafnium oxide/TiN stack via 2 "high k" and 2 metal deposition techniques, Microelectronic Engineering, vol.84, issue.9, pp.1886-1889, 2007.
URL : https://hal.archives-ouvertes.fr/hal-00463073

L. Ragnarsson, Ultrathin EOT high-?/metal gate devices for future technologies: Challenges, achievements and perspectives, Microelectronic Engineering, vol.88, issue.7, pp.1317-1322, 2011.

. Tse, Oxygen vacancies in high-k oxides, Microelectronic Engineering, vol.84, pp.2028-2031, 2007.

. Robertson, Importance of Oxygen Vacancies in High K Gate Dielectrics, IEEE International conference on integrated circuit design and technology, 2007.

P. Broqvist, A. Alkauskas, and A. Pasquarello, Band alignments and defect levels in Si-HfO 2 gate stacks: Oxygen vacancy and Fermi-level pinning, Applied Physics Letters, vol.92, issue.13, p.132911, 2008.

E. Cartier, V. Mcfeely, P. Narayanan, B. Jamison, and . Linder, Role of oxygen vacancies in Vfb//Vt stability of pFET metals on HfO 2, VLSI Technology, 2005. Digest of Technical Papers. 2005 Symposium on, pp.230-231, 2005.

G. Fuentes, Electron inelastic mean free path for Ti, TiC, TiN and TiO 2 as determined by quantitative reflection electron energy-loss spectroscopy, Surface and interface analysis, vol.33, pp.230-237, 2002.

G. Bersuker, Origin of the Flatband-Voltage Roll-Off Phenomenon in Metal/High-Gate Stacks, ESSDERC 2008 38th European SolidState Device Research Conference, vol.57, pp.2047-2056, 2010.

K. Shiraishi, Oxygen Vacancy Induced Substantial Threshold Voltage Shifts in the Hf-based High-K MISFET with p+poly-Si Gates -A Theoretical Approach, Japanese Journal of Applied Physics, vol.43, issue.11A, pp.1413-1415, 2004.

L. Fauquier, theses.fr II-2-4 Effet du co-précurseur durant le dépôt d'alumine L'effet du co-précurseur d'alumine semblent ici avoir une influence importante. Deux hypothèses peuvent être émises à ce sujet : -La première est que, dans le cas de l'H 2 O, l'hydrogène présent dans le précurseur va amener des charges positives qui vont diffuser dans l, Nouvelles approches d'utilisation de la spectroscopie de photoélectrons à rayons X (XPS) pour le développement et le contrôle des technologies FDSOI avancées

. -la-deuxième-est-que and . Dans-le-cas-de-l'ozone, une plus grande quantité d'oxygènes pénètre dans l'empilement et qu'avec l'énergie apporté par le budget thermique, l'oxygène va compenser la sous-stoechiométrie potentielle de l'Al 2 O 3 en comblant par exemple des lacunes positives, vol.11

, Il a également montré que, en présence d'ozone, la croissance de la couche de SiO 2 est plus importante [12], O 3 étant un oxydant fort

. Bibliographie,

X. R. Wang, Annealing effect on effective work function modulation for the

/. Al and . Tin, SiO 2 /p-Si structure, Microelectronic engineering, vol.88, pp.573-577, 2011.

Y. W. Chen, Effective Work Function Modulation by Aluminum Ion Implantation on Hf-Based High-k/Metal Gate pMOSFET, IEEE Electron Devices Letters, vol.31, pp.1290-1292, 2010.

K. Xiong, Impact of incorporated Al on the TiN / HfO 2 interface effective work function, Journal of Applied Physics, vol.104, 2008.

H. Kai, M. Xueli, Y. , and W. Wenwu, Modulation of the effective work function of a TiN metal gate for NMOS requisition with Al incorporation, Journal of Semiconductors, vol.34, issue.7, 2013.

R. Boujamaa, Caractérisations physico-chimiques et électriques d'empilements de couches d'oxyde à forte permittivité (high-k) / grille métallique pour l'ajustement du travail effectif de la grille. Application aux nouvelles générations de transistors

. Groner, Electrical characterization of thin Al 2 O 3 films grown by atomic layer deposition on silicon and various metal substrates, Thin Solid Films, vol.413, pp.186-197, 2002.

. Wilk, High-? gate dielectrics: Current status and materials properties considerations, Journal of Applied Physics, vol.84, pp.5243-5275, 2001.

. Guha, High temperature stability of Al 2 O 3 dielectrics on Si: Interfacial metal diffusion and mobility degradation, Applied Physics Letters, vol.81, pp.2956-2958, 2002.

E. Oudot, Oxydes métalliques pour la passivation de l'interface Si/SiO 2 des capteurs d'images CMOS

G. Dingemans, Influence of the Oxidant on the Chemical and Field-Effect Passivation of Si by ALD Al 2 O 3, Electrochemical Solid-State Letters, vol.14, issue.1, pp.1-4, 2011.

. Repo, Silicon Surface Passivation by Al 2 O 3 : Effect of ALD Reactants, Energy Procedia, vol.8, pp.681-687, 2011.

J. Weber, Native defects in Al 2 O 3 and their impact on III-V / Al 2 O 3 metal-oxides emiconductor-based devices, Journal of Applied Physics, vol.109, 2011.

. De, tous les échantillons ont été mesurés par le spectromètre XPS avec des conditions expérimentales strictement identiques. Le premier résultat marquant de cette étude est que, quel que soit l'analyse ou l'échantillon, l'énergie de liaison du Ti-O ne change pas pour tous les échantillons contenant une électrode de TiN, à l'erreur de mesure près, alors que l'énergie de liaison du silicium et de l'hafnium varie d'un échantillon à l'autre

, Cela nous a permis de montrer une corrélation entre l'apparition de plans de charges, l'un positif dans l'HfO 2 et l'autre négatif dans le TiN et les variations des positions des énergies de liaisons du silicium et de l'hafnium. On a donc pu, à travers cette expérience

, Nous avons montré avec ces séries d'expériences qu'il existe une corrélation entre la différence d'énergie de liaison mesurée par XPS et la charge totale dans l'empilement mesurée par COCOS. Ces quantités de charges ont ensuite été utilisées pour simuler l'effet de plans de charges sur le diagramme de bandes et l'évolution du potentiel électrostatique dans l'empilement, ce qui nous a permis d, Nous avons également étudié l'effet de la variation de certains paramètres de recuit, la température et la durée, sur les variations d'énergie de liaison

. L'étude-d'échantillons-recuit-À-basse-température, nous a également permis de constater une évolution de l'épaisseur de SiO 2 en fonction du temps de recuit qui ne s'accompagne pas d'une variation ni de quantité de charges dans l'empilement ni de potentiel électrostatique

, qu'il était difficile de mettre en application cette méthodologie lorsque des modifications structurales importantes intervenaient au sein de notre empilement, comme ici l'apparition de silicates d'hafnium ou la cristallisation du dioxyde d'hafnium. La fin de cette étude a ensuite été dédiée à appliquer cette méthode à d'autres empilements de grille. On a tout d'abord étudier l'empilement Si/SiO 2 /HfO 2 /TiAlN pour différentes températures de recuit final, le TiAlN remplaçant ici le TiN. On a pu montrer dans cette partie que la diffusion de l'aluminium était dépendante de la température et qu'elle se faisait, aux températures étudiées, Nous avons également observé, à travers l'étude d'échantillons recuit à une température supérieure à 800°C