S. Senni, L. Torres, G. Sassatelli, A. Gamatie, and B. Mussard, Non-volatile processor based on MRAM for ultra-low-power IoT devices, In ACM Journal on Emerging Technologies in Computing
URL : https://hal.archives-ouvertes.fr/lirmm-01419425

S. Senni, L. Torres, G. Sassatelli, A. Gamatie, and B. Mussard, Exploring MRAM technologies for Energy Efficient Systems-On-Chip, IEEE Journal on Emerging and Selected Topics in Circuits and Systems
URL : https://hal.archives-ouvertes.fr/lirmm-01419429

S. Senni, L. Torres, and B. Mussard, Applications of Magnetic RAM for Processor Architecture, Leading Edge Embedded NVM Workshop (e-NVM), 2015.

S. Senni, L. Torres, G. Sassatelli, A. Gamatie, and B. Mussard, Emerging NonVolatile Memory Technologies Exploration Flow For Processor Architecture, IEEE Computer Society Annual Symposium on Very Large Scale Integration (ISVLSI), 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01253337

S. Senni, L. Torres, G. Sassatelli, A. Gamatie, and B. Mussard, Potential Applications based on NVM Emerging Technologies, Proceedings of the Conference on Design, Automation and Test in Europe (DATE), pp.1012-1017, 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01253332

L. V. Cargnini, L. Torres, R. M. Brum, S. Senni, and G. Sassatelli, Embedded Memory Hierarchy Exploration Based on Magnetic Random Access Memory, Journal of Low Power Electronics and Applications (JLPEA), Selected Papers from Faible Tension Faibe Consommation Conference (FTFC) 2013, vol.4, pp.214-230, 2014.
URL : https://hal.archives-ouvertes.fr/lirmm-01306304

S. Senni, L. Torres, G. Sassatelli, A. Butko, and B. Mussard, Exploration of Magnetic RAM based memory hierarchy for multicore architecture, IEEE Computer Society Annual Symposium on Very Large Scale Integration (ISVLSI), pp.248-251, 2014.
URL : https://hal.archives-ouvertes.fr/lirmm-01253350

S. Senni, L. Torres, G. Sassatelli, A. Butko, and B. Mussard, Power efficient Thermally Assisted Switching Magnetic memory based memory systems, 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, pp.1-6, 2014.
URL : https://hal.archives-ouvertes.fr/lirmm-01253331

S. Senni, R. M. Brum, L. Torres, and G. Sassatelli, Magnetic RAM based memory hierarchy exploration, GDR SoC SiP, 2014.
URL : https://hal.archives-ouvertes.fr/lirmm-01419132

L. V. Cargnini, L. Torres, R. M. Brum, S. Senni, and G. Sassatelli, Embedded memory hierarchy exploration based on magnetic RAM, Faible Tension Faible Consommation (FTFC), pp.1-4, 2013.
URL : https://hal.archives-ouvertes.fr/lirmm-01419132

S. Senni, J. Azevedo, L. Torres, L. V. Cargnini, R. M. Brum et al., MRAM research @ LIRMM. In Leading Edge Embedded NVM Workshop, 2013.

F. Shearer, Power management in mobile devices, 2011.

E. Kitagawa and S. Fujita, Stt-mram cuts power use by 80%

, Crocus technology company

S. Wolf, D. Awschalom, R. Buhrman, J. Daughton, S. V. Molnar et al.,

D. Chtchelkanova and . Treger, Spintronics: a spin-based electronics vision for the future, Science, vol.294, issue.5546, pp.1488-1495, 2001.

, The nobel prize in physics 2007: Information for the public

M. N. Baibich, J. M. Broto, A. Fert, F. N. Van-dau, F. Petroff et al., Giant magnetoresistance of (001) fe/(001) cr magnetic superlattices, Physical review letters, vol.61, issue.21, p.2472, 1988.

P. M. Tedrow and R. Meservey, Spin-dependent tunneling into ferromagnetic nickel, Physical Review Letters, vol.26, issue.4, p.192, 1971.

M. Julliere, Tunneling between ferromagnetic films, Physics letters A, vol.54, issue.3, pp.225-226, 1975.

T. Miyazaki and N. Tezuka, Spin polarized tunneling in ferromagnet/insulator/ferromagnet junctions, Journal of magnetism and magnetic materials, vol.151, issue.3, pp.403-410, 1995.

J. S. Moodera, L. R. Kinder, T. M. Wong, and R. Meservey, Large magnetoresistance at room temperature in ferromagnetic thin film tunnel junctions, Physical Review Letters, vol.74, issue.16, p.3273, 1995.

D. Wang, C. Nordman, J. M. Daughton, Z. Qian, and J. Fink, 70% tmr at room temperature for sdt sandwich junctions with cofeb as free and reference layers, IEEE Transactions on, vol.40, issue.4, pp.2269-2271, 2004.

W. Butler, X. Zhang, T. Schulthess, and J. Maclaren, Spin-dependent tunneling conductance of fe| mgo| fe sandwiches, Physical Review B, vol.63, issue.5, p.54416, 2001.

J. Mathon and A. Umerski, Theory of tunneling magnetoresistance of an epitaxial fe/mgo/fe (001) junction, Physical Review B, vol.63, issue.22, p.220403, 2001.

S. Ikeda, J. Hayakawa, Y. Ashizawa, Y. Lee, K. Miura et al., Tunnel magnetoresistance of 604% at 300 k by suppression of ta diffusion in cofeb/mgo/cofeb pseudo-spin-valves annealed at high temperature, Applied Physics Letters, vol.93, issue.8, p.2508, 2008.

S. Yuasa and D. Djayaprawira, Giant tunnel magnetoresistance in magnetic tunnel junctions with a crystalline mgo (0 0 1) barrier, Journal of Physics D: Applied Physics, vol.40, issue.21, p.337, 2007.

B. Engel, J. Åkerman, B. Butcher, R. Dave, M. Deherrera et al., A 4-mb toggle mram based on a novel bit and switching method, IEEE Transactions on, vol.41, issue.1, pp.132-136, 2005.

I. Prejbeanu, M. Kerekes, R. Sousa, H. Sibuet, O. Redon et al., Thermally assisted mram, Journal of Physics: Condensed Matter, vol.19, issue.16, p.165218, 2007.
URL : https://hal.archives-ouvertes.fr/hal-02131755

A. Khvalkovskiy, D. Apalkov, S. Watts, R. Chepulskii, R. Beach et al., Basic principles of stt-mram cell operation in memory arrays, Journal of Physics D: Applied Physics, vol.46, issue.7, p.20, 2013.

P. Gambardella and I. M. Miron, Current-induced spin-orbit torques, Philosophical Transactions of the Royal Society of London A: Mathematical, Physical and Engineering Sciences, vol.369, pp.3175-3197, 1948.

K. Lewotsky, Tech trends: Details on everspin's st-mram

T. W. Andre, J. J. Nahas, C. K. Subramanian, B. J. Garni, H. S. Lin et al., A 4-mb 0.18-µm 1t1mtj toggle mram with balanced three input sensing scheme and locally mirrored unidirectional write drivers, IEEE Journal, vol.40, issue.1, pp.301-309, 2005.

, Everspin company

I. Prejbeanu, S. Bandiera, J. Alvarez-hérault, R. Sousa, B. Dieny et al., Thermally assisted mrams: ultimate scalability and logic functionalities, Journal of Physics D: Applied Physics, vol.46, issue.7, p.74002, 2013.

B. Cambou, Match in place. a novel way to perform secure and fast user's authentication

R. Bishnoi, M. Ebrahimi, F. Oboril, and M. B. Tahoori, Architectural aspects in design and analysis of sot-based memories, Design Automation Conference (ASP-DAC), pp.700-707, 2014.

S. Lee, K. Kang, and C. Kyung, Runtime thermal management for 3-d chipmultiprocessors with hybrid sram/mram l2 cache, IEEE Transactions on, vol.23, issue.3, pp.520-533, 2015.

J. Wang, X. Dong, and Y. Xie, Oap: an obstruction-aware cache management policy for stt-ram last-level caches, Proceedings of the Conference on Design, Automation and Test in Europe. EDA Consortium, pp.847-852, 2013.

N. N. Mojumder, S. K. Gupta, S. H. Choday, D. E. Nikonov, and K. Roy, A threeterminal dual-pillar stt-mram for high-performance robust memory applications, Electron Devices, vol.58, pp.1508-1516, 2011.

S. Kang and K. Lee, Emerging materials and devices in spintronic integrated circuits for energy-smart mobile computing and connectivity, Acta Materialia, vol.61, issue.3, pp.952-973, 2013.

X. Fong and K. Roy, Low-power robust complementary polarizer stt-mram (cpstt) for on-chip caches, Memory Workshop (IMW), pp.88-91, 2013.

, Complimentary polarizers stt-mram (cpstt) for on-chip caches, Electron Device Letters, vol.34, issue.2, pp.232-234, 2013.

H. Naeimi, C. Augustine, A. Raychowdhury, S. Lu, and J. Tschanz, Sttram scaling and retention failure, Intel Technology Journal, vol.17, issue.1, pp.54-75, 2013.

P. Khalili and K. Wang, Voltage-controlled mram: Status, challenges and prospects

J. G. Alzate, P. K. Amiri, P. Upadhyaya, S. S. Cherepov, J. Zhu et al., Voltage-induced switching of nanoscale magnetic tunnel junctions, Electron Devices Meeting (IEDM), pp.29-34, 2012.

S. Kanai, M. Yamanouchi, S. Ikeda, Y. Nakatani, F. Matsukura et al., Electric field-induced magnetization reversal in a perpendicular-anisotropy cofeb-mgo magnetic tunnel junction, Applied Physics Letters, vol.101, issue.12, p.122403, 2012.

Y. Shiota, T. Nozaki, F. Bonell, S. Murakami, T. Shinjo et al., Induction of coherent magnetization switching in a few atomic layers of feco using voltage pulses, Nature materials, vol.11, issue.1, pp.39-43, 2012.

Y. Shiota, S. Miwa, T. Nozaki, F. Bonell, N. Mizuochi et al., Pulse voltage-induced dynamic magnetization switching in magnetic tunneling junctions with high resistance-area product, Applied Physics Letters, vol.101, issue.10, p.102406, 2012.

P. K. Amiri, P. Upadhyaya, J. Alzate, and K. Wang, Electric-field-induced thermally assisted switching of monodomain magnetic bits, Journal of Applied Physics, vol.113, issue.1, p.13912, 2013.

W. Wang, M. Li, S. Hageman, and C. Chien, Electric-field-assisted switching in magnetic tunnel junctions, Nature materials, vol.11, issue.1, pp.64-68, 2012.

K. Wang, J. Alzate, and P. K. Amiri, Low-power non-volatile spintronic memory: Stt-ram and beyond, Journal of Physics D: Applied Physics, vol.46, issue.7, p.74003, 2013.

I. M. Miron, G. Gaudin, S. Auffret, B. Rodmacq, A. Schuhl et al., Current-driven spin torque induced by the rashba effect in a ferromagnetic metal layer, Nature materials, vol.9, issue.3, pp.230-234, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00459160

L. Liu, C. Pai, Y. Li, H. Tseng, D. Ralph et al., Spin-torque switching with the giant spin hall effect of tantalum, Science, vol.336, issue.6081, pp.555-558, 2012.

F. Oboril, R. Bishnoi, M. Ebrahimi, and M. B. Tahoori, Evaluation of hybrid memory technologies using sot-mram for on-chip cache hierarchy, IEEE Transactions on, vol.34, issue.3, pp.367-380, 2015.

H. Noguchi, K. Kushida, K. Ikegami, K. Abe, E. Kitagawa et al., A 250-mhz 256b-i/o 1-mb stt-mram with advanced perpendicular mtj based dual cell for nonvolatile magnetic caches to reduce active power of processors, VLSI Technology (VLSIT), 2013 Symposium on, pp.108-109, 2013.

K. Ikegami, H. Noguchi, C. Kamata, M. Amano, K. Abe et al., A 4ns, 0.9 v write voltage embedded perpendicular stt-mram fabricated by mtj-last process, Proceedings of Technical Program-2014 International Symposium on, pp.1-2, 2014.

H. Noguchi, K. Ikegami, K. Kushida, K. Abe, S. Itai et al., 3 ns-access-time 71.2µw/mhz 1mb embedded stt-mram using physically eliminated read-disturb scheme and normally-off memory architecture, Solid-State Circuits Conference-(ISSCC), pp.1-3, 2015.

R. Dorrance, J. G. Alzate, S. S. Cherepov, P. Upadhyaya, I. N. Krivorotov et al., Diode-mtj crossbar memory cell using voltage-induced unipolar switching for high-density mram, Electron Device Letters, IEEE, vol.34, issue.6, pp.753-755, 2013.

K. Jabeur, L. Buda-prejbeanu, G. Prenat, and G. Pendina, Study of two writing schemes for a magnetic tunnel junction based on spin orbit torque, International Journal of Electronics Science and Engineering, vol.7, issue.8, pp.501-507, 2013.

S. Rusu, S. Tam, H. Muljono, J. Stinson, D. Ayers et al., A 45 nm 8-core enterprise xeon processor, IEEE Journal, vol.45, issue.1, pp.7-14, 2010.

R. Sites, It's the memory, stupid!" Microprocessor Report, vol.10, issue.10, pp.2-3, 1996.

. Semico, Semico research corporation

, International technology roadmap for semiconductors

X. Dong, X. Wu, G. Sun, Y. Xie, H. Li et al., Circuit and microarchitecture evaluation of 3d stacking magnetic ram (mram) as a universal memory replacement, Design Automation Conference, pp.554-559, 2008.

G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen, A novel architecture of the 3d stacked mram l2 cache for cmps, High Performance Computer Architecture, pp.239-249, 2009.

X. Wu, J. Li, L. Zhang, E. Speight, R. Rajamony et al., Hybrid cache architecture with disparate memory technologies, ACM SIGARCH computer architecture news, vol.37, issue.3, pp.34-45, 2009.

X. Wu, J. Li, L. Zhang, E. Speight, and Y. Xie, Power and performance of readwrite aware hybrid caches with non-volatile memories, Design, Automation & Test in Europe Conference & Exhibition, pp.737-742, 2009.

J. Li, C. J. Xue, and Y. Xu, Stt-ram based energy-efficiency hybrid cache for cmps, 2011 IEEE/IFIP 19th International Conference on, pp.31-36, 2011.

P. Zhou, B. Zhao, J. Yang, and Y. Zhang, Energy reduction for stt-ram using early write termination, Computer-Aided Design-Digest of Technical Papers, pp.264-268, 2009.

K. Kwon, S. H. Choday, Y. Kim, and K. Roy, Aware (asymmetric write architecture with redundant blocks): A high write speed stt-mram cache architecture, IEEE Transactions on, vol.22, issue.4, pp.712-720, 2014.

A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan et al., Cache revive: architecting volatile stt-ram caches for enhanced performance in cmps, Proceedings of the 49th Annual Design Automation Conference, pp.243-252, 2012.

E. Arima, H. Noguchi, T. Nakada, S. Miwa, S. Takeda et al., Fine-grain power-gating on stt-mram peripheral circuits with locality-aware access control, The Memory Forum (in conjounction with the 41 st International Symposium on Computer Architecture), 2014.

M. P. Komalan, C. Tenllado, J. I. Pérez, F. T. Fernández, and F. Catthoor, System level exploration of a stt-mram based level 1 data-cache, Proceedings of the, 2015.

. Design, Europe Conference & Exhibition. EDA Consortium, pp.1311-1316, 2015.

H. Noguchi, K. Ikegami, N. Shimomura, T. Tetsufumi, J. Ito et al., Highly reliable and low-power nonvolatile cache memory with advanced perpendicular stt-mram for high-performance cpu, VLSI Circuits Digest of Technical Papers, pp.1-2, 2014.

F. A. Endo, D. Couroussé, and H. Charles, Micro-architectural simulation of embedded core heterogeneity with gem5 and mcpat, Proceedings of the 2015 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, p.7, 2015.
URL : https://hal.archives-ouvertes.fr/cea-01818887

P. Greenhalgh, Big. little processing with arm cortex-a15 & cortex-a7, pp.1-8, 2011.

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, pp.1-7, 2011.

A. Butko, R. Garibotti, L. Ost, V. Lapotre, A. Gamatie et al., A trace-driven approach for fast and accurate simulation of manycore architectures, Design Automation Conference (ASP-DAC), 2015 20th Asia and South Pacific, pp.707-712, 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01255921

N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi et al., The m5 simulator: Modeling networked systems, IEEE Micro, issue.4, pp.52-60, 2006.

M. M. Martin, D. J. Sorin, B. M. Beckmann, M. R. Marty, M. Xu et al., Multifacet's general execution-driven multiprocessor simulator (gems) toolset, ACM SIGARCH Computer Architecture News, vol.33, issue.4, pp.92-99, 2005.

A. Hansson, N. Agarwal, A. Kolli, T. Wenisch, and A. N. Udipi, Simulating dram controllers for future system architecture exploration, Performance Analysis of Systems and Software (ISPASS), pp.201-210, 2014.

X. Dong, C. Xu, Y. Xie, and N. P. Jouppi, Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory, IEEE Transactions on, vol.31, issue.7, pp.994-1007, 2012.

S. J. Wilton and N. P. Jouppi, Cacti: An enhanced cache access and cycle time model, IEEE Journal, vol.31, issue.5, pp.677-688, 1996.

N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi, Cacti 6.0: A tool to model large caches, HP Laboratories, pp.22-31, 2009.

S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta, The splash-2 programs: Characterization and methodological considerations, ACM SIGARCH Computer Architecture News, vol.23, issue.2, pp.24-36, 1995.

C. Bienia, S. Kumar, J. P. Singh, and K. Li, The parsec benchmark suite: Characterization and architectural implications, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, pp.72-81, 2008.

C. Bienia, S. Kumar, and K. Li, Parsec vs. splash-2: A quantitative comparison of two multithreaded benchmark suites on chip-multiprocessors, IEEE International Symposium on, pp.47-56, 2008.

T. Kaukalias and P. Chatzimisios, Internet of things (iot)

S. Karnouskos, P. J. Marrón, G. Fortino, L. Mottola, and J. R. , Martínez-de Dios, Applications and markets for cooperating objects, 2014.

K. Ando, S. Fujita, J. Ito, S. Yuasa, Y. Suzuki et al., Spin-transfer torque magnetoresistive random-access memory technologies for normally off computing, Journal of Applied Physics, vol.115, issue.17, p.172607, 2014.

&. Stm32l1 and . Datasheet,

C. Santifort, Amber arm-compatible core, OpenCores. org, 2010.

T. Na, K. Ryu, J. Kim, S. Kang, and S. Jung, A comparative study of stt-mtj based non-volatile flip-flops, Circuits and Systems (ISCAS), 2013 IEEE International Symposium on, pp.109-112, 2013.

W. Zhao, M. Moreau, E. Deng, Y. Zhang, J. Portal et al., Synchronous non-volatile logic gate design based on resistive switching memories, IEEE Transactions on, vol.61, issue.2, pp.443-454, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01743999

Y. Zhang, E. Deng, J. Klein, D. Querlioz, D. Ravelosona et al., Synchronous full-adder based on complementary resistive switching memory cells, New Circuits and Systems Conference (NEWCAS), pp.1-4, 2013.
URL : https://hal.archives-ouvertes.fr/hal-01840795

K. Jabeur, G. D. Pendina, F. Bernard-granger, and G. Prenat, Spin orbit torque non-volatile flip-flop for high speed and low energy applications, Electron Device Letters, IEEE, vol.35, issue.3, pp.408-410, 2014.

J. Wang, Y. Liu, H. Yang, and H. Wang, A compare-and-write ferroelectric nonvolatile flip-flop for energy-harvesting applications, Green Circuits and Systems (ICGCS), 2010 International Conference on, pp.646-650, 2010.

N. Jovanovi?, O. Thomas, E. Vianello, J. Portal, B. Nikoli? et al., Oxrambased non volatile flip-flop in 28nm fdsoi, 2014.

B. Jovanovic, R. M. Brum, and L. Torres, Comparative analysis of mtj/cmos hybrid cells based on tas and in-plane stt magnetic tunnel junctions, IEEE Transactions on, vol.51, issue.2, pp.1-11, 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01284619

D. Chabi, W. Zhao, E. Deng, Y. Zhang, N. Ben-romdhane et al., Ultra low power magnetic flip-flop based on checkpointing/power gating and self-enable mechanisms, IEEE Transactions on, vol.61, issue.6, pp.1755-1765, 2014.

J. Choi, C. Jung, and K. Min, Pcram flip-flop circuits with sequential sleep-in control scheme and selective write latch, Journal of Semiconductor Technology and Science, vol.13, issue.1, pp.58-64, 2013.

Y. Guillemenet, L. Torres, and G. Sassatelli, Non-volatile run-time fieldprogrammable gate arrays structures using thermally assisted switching magnetic random access memories, IET Computers & Digital Techniques, vol.4, issue.3, pp.211-226, 2010.

C. Holland, First mram-based fpga taped-out, vol.4200035, 2010.

W. Zhao, E. Belhaire, C. Chappert, and P. Mazoyer, Spin transfer torque (stt)-mram-based runtime reconfiguration fpga circuit, ACM Transactions on Embedded Computing Systems (TECS), vol.9, issue.2, p.14, 2009.

S. Paul, S. Mukhopadhyay, and S. Bhunia, A circuit and architecture codesign approach for a hybrid cmos-sttram nonvolatile fpga, IEEE Transactions on, vol.10, issue.3, pp.385-394, 2011.

A. Ahari, H. Asadi, B. Khaleghi, and M. B. Tahoori, A power-efficient reconfigurable architecture using pcm configuration technology, Proceedings of the conference on Design, Automation & Test in Europe. European Design and Automation Association, p.336, 2014.

O. Turkyilmaz, S. Onkaraiah, M. Reyboz, F. Clermidy, C. Anghel et al., Rram-based fpga for "normally off, instantly on" applications, Journal of Parallel and Distributed Computing, vol.74, issue.6, pp.2441-2451, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01743243

Y. Wang, Y. Liu, S. Li, D. Zhang, B. Zhao et al., A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops, ESSCIRC (ESSCIRC), pp.149-152, 2012.

S. Khanna, S. Bartling, M. Clinton, S. Summerfelt, J. Rodriguez et al., Zero leakage microcontroller with 384ns wakeup time using fram mini-array architecture, Solid-State Circuits Conference (A-SSCC), pp.21-24, 2013.

S. Khanna, S. C. Bartling, M. Clinton, S. Summerfelt, J. A. Rodriguez et al.,

. Mcadams, An fram-based nonvolatile logic mcu soc exhibiting 100% digital state retention at 0 v achieving zero leakage with 400-ns wakeup time for ulp applications, IEEE Journal, vol.49, issue.1, pp.95-106, 2014.

J. S. Meena, S. M. Sze, U. Chand, and T. Tseng, Overview of emerging nonvolatile memory technologies, Nanoscale research letters, vol.9, issue.1, pp.1-33, 2014.

H. Koike, T. Ohsawa, S. Ikeda, T. Hanyu, H. Ohno et al., A power-gated mpu with 3-microsecond entry/exit delay using mtj-based nonvolatile flip-flop, Solid-State Circuits Conference (A-SSCC), pp.317-320, 2013.

R. P. Weicker, Dhrystone: a synthetic systems programming benchmark, Communications of the ACM, vol.27, issue.10, pp.1013-1030, 1984.

J. Borgeson, S. Shauer, and H. Diewald, Benchmarking mcu power consumption for ultra-low-power applications, 2012.

Q. Stainer, L. Lombard, K. Mackay, D. Lee, S. Bandiera et al., Self-referenced multi-bit thermally assisted magnetic random access memories, Applied Physics Letters, vol.105, issue.3, p.32405, 2014.
URL : https://hal.archives-ouvertes.fr/hal-02042683

M. Poremba and Y. Xie, Nvmain: An architectural-level main memory simulator for emerging non-volatile memories, VLSI (ISVLSI), pp.392-397, 2012.

S. Li, J. H. Ahn, R. D. Strong, J. B. Brockman, D. M. Tullsen et al., Mcpat: an integrated power, area, and timing modeling framework for multicore and manycore architectures, Microarchitecture, 2009. MICRO-42. 42nd Annual IEEE/ACM International Symposium on, pp.469-480, 2009.

J. Clement, B. Mussard, D. Naccache, and L. Torres, Implementation of aes using nvm memories based on comparison function, VLSI (ISVLSI), 2015 IEEE Computer Society Annual Symposium on. Accepted for publication
URL : https://hal.archives-ouvertes.fr/lirmm-01421143

I. Vasyltsov, E. Hambardzumyan, Y. Kim, and B. Karpinskyy, Fast digital trng based on metastable ring oscillator, Cryptographic Hardware and Embedded Systems-CHES, pp.164-180, 2008.

A. Maiti, R. Nagesh, A. Reddy, and P. Schaumont, Physical unclonable function and true random number generator: A compact and scalable implementation, Proceedings of the 19th ACM Great Lakes symposium on VLSI, pp.425-428, 2009.

A. Fukushima, T. Seki, K. Yakushiji, H. Kubota, H. Imamura et al., Spin dice: A scalable truly random number generator based on spintronics, Applied Physics Express, vol.7, issue.8, p.83001, 2014.

T. Devolder, J. Hayakawa, K. Ito, H. Takahashi, S. Ikeda et al., Single-shot time-resolved measurements of nanosecond-scale spin-transfer induced switching: Stochastic versus deterministic aspects, Physical review letters, vol.100, issue.5, p.57206, 2008.

L. Zhang, X. Fong, C. Chang, Z. H. Kong, and K. Roy, Highly reliable memorybased physical unclonable function using spin-transfer torque mram, Circuits and Systems (ISCAS), pp.2169-2172, 2014.

J. Das, K. Scott, S. Rajaram, D. Burgett, and S. Bhanja, Mram puf: A novel geometry based magnetic puf with integrated cmos, 2015.

E. I. Vatajelu, G. D. Natale, M. Indaco, and P. Prinetto, Stt mram-based pufs, Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. EDA Consortium, pp.872-875, 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01234087