N. Jeanniot, R. Chen, and A. Todri, Exploring the advantages of carbon nanotubes field effect transistors for adiabatic logic, 2018.

N. Jeanniot and A. Todri, Investigating power-clock network of adiabatic circuits, 2018.

G. Pillonnet, N. Jeanniot, and P. Vivet, 3D ICs: An opportunity for fully-integrated, dense and efficient power supplies, 2016 International 3D Systems Integration Conference (3DIC), 2016.
URL : https://hal.archives-ouvertes.fr/hal-01217670

G. Pillonnet and N. Jeanniot, Effect of CMOS Technology Scaling on Fully-Integrated Power Supply Efficiency, CIPS 2016; 9th International Conference on Integrated Power Electronics Systems, pp.1-5, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01348477

N. Jeanniot, G. Pillonnet, P. Nouet, H. Fanet, and A. Todri, Analyzing power-clock network parasitic on adiabatic logic, 2016 Workshop on Integrated Power Conversion and Power Management (PwrSoC), 2016. APPENDIX B. AUTHOR PUBLICATIONS

N. Jeanniot, A. Todri-sanial, P. Nouet, G. Pillonnet, and H. Fanet, Investigation of the power-clock network impact on adiabatic logic, 2016 IEEE 20th Workshop on Signal and Power Integrity (SPI), pp.1-4, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01348476

N. Jeanniot, G. Pillonnet, P. Nouet, N. Azemard, and A. Todri, Synchronised 4-phase resonant power clock supply for energy efficient adiabatic logic, 2017 IEEE International Conference on Rebooting Computing (ICRC), pp.1-6, 2017.
URL : https://hal.archives-ouvertes.fr/lirmm-01768831

G. Jeanniot, P. Pillonnet, H. Nouet, A. Fanet, and . Todri, Impact of the powerclock network on adiabatic logic, Colloque 2016 du groupe de recherche System on Chip -System in Package (SOC-SIP), 2016.
URL : https://hal.archives-ouvertes.fr/hal-01348476

N. Jeanniot, G. Pillonnet, N. Azemard, P. Nouet, and A. Todri, 4-phase resonant power-clock supply for adiabatic logic, Colloque 2017 du groupe de recherche System On Chip, Systèmes Embarqués et Objets Connectés -System in Package, 2017.
URL : https://hal.archives-ouvertes.fr/lirmm-01800297

J. Denker, A review of adiabatic computing, IEEE Symposium, pp.94-97, 1994.

A. S. Andrae and T. Edler, On global electricity usage of communication technology: Trends to 2030, Challenges, vol.6, issue.1, pp.117-157, 2015.

H. Sutter, The free lunch is over: A fundamental turn toward concurrency in software, vol.30, 2005.

W. Arden, M. Brillouët, P. Cogez, M. Graef, B. Huizing et al.,

P. Avouris, J. Appenzeller, R. Martel, and S. J. Wind, Carbon nanotube electronics, Proceedings of the IEEE, vol.91, p.99, 2003.

C. ,

E. Lee, A. D. Pop, W. Franklin, and H. Haensch,

P. Wong, A compact virtual-source model for carbon nanotube fets in the sub-10-nm regime-part i: Intrinsic elements, IEEE Transactions on Electron Devices, vol.62, pp.3061-3069, 2015.

G. E. Moore, Cramming more components onto integrated circuits, Electronics, vol.38, 1965.

, 60 years of integrated circuits, Nature Electronics, vol.1, p.483, 2018.

M. T. Bohr, R. S. Chau, G. T. , and M. K. , The high-k solution, IEEE Spectrum, vol.44, pp.29-35, 2007.

S. E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau et al., A logic nanotechnology featuring strainedsilicon, IEEE Electron Device Letters, vol.25, pp.191-193, 2004.

E. Track, N. Forbes, and G. Strawn, The end of moore's law, Computing in Science and Engineering, vol.19, pp.4-6, 2017.

S. Reda, Electronics: 3d integration advances computing, Nature, vol.547, pp.38-40, 2017.

J. S. Meena, S. M. Sze, U. Chand, and T. Tseng, Overview of emerging nonvolatile memory technologies, Nanoscale Research Letters, vol.9, p.526, 2014.

S. Salahuddin, K. Ni, and S. Datta, The era of hyper-scaling in electronics, Nature Electronics, vol.1, pp.442-450, 2018.

K. J. Kuhn, Cmos scaling for the 22nm node and beyond: Device physics and technology, Proceedings of 2011 International Symposium on VLSI Technology, Systems and Applications, pp.1-2, 2011.

N. Planes, O. Weber, V. Barral, S. Haendler, D. Noblet et al., 28nm fdsoi technology platform for high-speed low-voltage digital applications, 2012 Symposium on VLSI Technology (VLSIT), pp.133-134, 2012.

M. Jurczak, N. Collaert, A. Veloso, T. Hoffmann, and S. Biesemans, Review of finfet technology, 2009 IEEE International SOI Conference, pp.1-4, 2009.

B. Yu, L. Chang, S. Ahmed, H. Wang, S. Bell et al., Finfet scaling to 10 nm gate length, Digest. International Electron Devices Meeting, pp.251-254, 2002.

I. M. Kang and H. Shin, Non-quasi-static small-signal modeling and analytical parameter extraction of soi finfets, IEEE Transactions on Nanotechnology, vol.5, pp.205-210, 2006.

M. Luisier, M. Lundstrom, D. A. Antoniadis, and J. Bokor, Ultimate device scaling: Intrinsic performance comparisons of carbon-based, ingaas, and si field-effect transistors for 5 nm gate length, 2011 International Electron Devices Meeting, 2011.

L. Wei, D. J. Frank, L. Chang, and H. Wong, Noniterative compact modeling for intrinsic carbon-nanotube fets: Quantum capacitance and ballistic transport, vol.58, pp.2456-2465, 2011.

A. Kamath, Z. Chen, N. Shen, N. Singh, G. Q. Lo et al., Realizing and and or functions with single vertical-slit field-effect transistor, IEEE Electron Device Letters, vol.33, pp.152-154, 2012.

X. Qiu, M. Marek-sadowska, and W. Maly, Vertical slit field effect transistor in ultralow power applications, Thirteenth International Symposium on Quality Electronic Design (ISQED), pp.384-390, 2012.

A. Peschot, C. Qian, D. J. Connelly, and T. K. Liu, Body-biased operation for improved mem relay energy efficiency, 2015 Fourth Berkeley Symposium on Energy Efficient Electronic Systems (E3S), pp.1-3, 2015.

N. Xu, J. Sun, I. Chen, L. Hutin, Y. Chen et al., Hybrid cmos/beol-nems technology for ultra-low-power ic applications, 2014 IEEE International Electron Devices Meeting, 2014.

K. Han, X. Guo, S. Smith, Z. Deng, and W. Li, Novel high-capacitance-ratio mems switch: Design, analysis and performance verification, vol.9, p.390, 2018.

L. Chang, D. M. Fried, J. Hergenrother, J. W. Sleight, R. H. Dennard et al., Stable sram cell design for the 32 nm node and beyond, Digest of Technical Papers. 2005 Symposium on VLSI Technology, pp.128-129, 2005.

S. Lin, Y. Kim, and F. Lombardi, Design of a cntfet-based sram cell by dual-chirality selection, IEEE Transactions on Nanotechnology, vol.9, pp.30-37, 2010.

O. Thomas, B. Zimmer, B. Pelloux-prayer, N. Planes, K. Akyel et al., 6t sram design for wide voltage range in 28nm fdsoi, 2012 IEEE International SOI Conference (SOI), pp.1-2, 2012.

D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh et al., Full copper wiring in a sub-0.25 /spl mu/m cmos ulsi technology, International Electron Devices Meeting. IEDM Technical Digest, pp.773-776, 1997.

J. Liang, L. Zhang, N. Azemard-crestani, P. Nouet, and A. Todri, Physical description and analysis of doped carbon nanotube interconnects, 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp.250-255, 2016.
URL : https://hal.archives-ouvertes.fr/lirmm-01457338

C. Kachris and I. Tomkos, A survey on optical interconnects for data centers, IEEE Communications Surveys Tutorials, vol.14, pp.1021-1036, 2012.

M. Shaafiee, R. Logeswaran, and A. Seddon, Overcoming the limitations of von neumann architecture in big data systems, 2017 7th International Conference on Cloud Computing, pp.199-203, 2017.

A. A. Sawchuk and T. C. Strand, Digital optical computing, Proceedings of the IEEE, vol.72, pp.758-779, 1984.

E. Shaik and N. Rangaswamy, High contrast all-optical xor gate with t-shaped photonic crystal waveguide using phase based interference, 2017 Fourteenth International Conference on Wireless and Optical Communications Networks (WOCN), pp.1-3, 2017.

I. B. Djordjevic and M. Cvijetic, Advanced schemes for all-optical computing, optical error correction, and optical signal processing, 2015 17th International Conference on Transparent Optical Networks (ICTON), pp.1-6, 2015.

C. Mead, Neuromorphic electronic systems, Proceedings of the IEEE, vol.78, pp.1629-1636, 1990.

H. Mulaosmanovic, J. Ocker, S. Müller, M. Noack, J. Müller et al., Novel ferroelectric fet based synapse for neuromorphic systems, 2017 Symposium on VLSI Technology, pp.176-177, 2017.

B. V. Benjamin, P. Gao, E. Mcquinn, S. Choudhary, A. R. Chandrasekaran et al., Neurogrid: A mixedanalog-digital multichip system for large-scale neural simulations, Proceedings of the IEEE, vol.102, pp.699-716, 2014.

C. Mayr, J. Partzsch, M. Noack, S. Hänzsche, S. Scholze et al., A biological-realtime neuromorphic system in 28 nm cmos using lowleakage switched capacitor circuits, IEEE Transactions on Biomedical Circuits and Systems, vol.10, pp.243-254, 2016.

H. Cho and E. E. Swartzlander, Adder and multiplier design in quantum-dot cellular automata, IEEE Transactions on Computers, vol.58, pp.721-727, 2009.

C. S. Lent and P. D. Tougaw, A device architecture for computing with quantum dots, Proceedings of the IEEE, vol.85, pp.541-557, 1997.

J. Singh and M. Singh, Evolution in quantum computing, 2016 International Conference System Modeling Advancement in Research Trends (SMART), pp.267-270, 2016.

D. García-martín and G. Sierra, Five experimental tests on the 5-qubit ibm quantum computer, Journal of Applied Mathematics and Physics, vol.06, 2017.

J. Han and M. Orshansky, Approximate computing: An emerging paradigm for energy-efficient design, 2013 18th IEEE European Test Symposium (ETS), pp.1-6, 2013.

B. D. Brown and H. C. Card, Stochastic neural computation. i. computational elements, IEEE Transactions on Computers, vol.50, pp.891-905, 2001.

N. Rangarajan, A. Parthasarathy, N. Kani, and S. Rakheja, Energy-efficient computing with probabilistic magnetic bits-performance modeling and comparison against probabilistic cmos logic, IEEE Transactions on Magnetics, vol.53, pp.1-10, 2017.

T. D. Burd, T. A. Pering, A. J. Stratakos, and R. W. Brodersen, A dynamic voltage scaled microprocessor system, IEEE Journal of Solid-State Circuits, vol.35, pp.1571-1580, 2000.

C. Isci, A. Buyuktosunoglu, C. Cher, P. Bose, and M. Martonosi, An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget, 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), pp.347-358, 2006.

H. Soeleman, K. Roy, and B. Paul, Robust ultra-low power sub-threshold dtmos logic, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514), pp.25-30, 2000.

S. Fisher, A. Teman, D. Vaysman, A. Gertsman, O. Yadid-pecht et al., Digital subthreshold logic design -motivation and challenges, 2008 IEEE 25th Convention of Electrical and Electronics Engineers in Israel, pp.702-706, 2008.

C. Ayala, A. Bazigos, D. Grogg, Y. Pu, and C. Hagleitner, Ultra-low-energy adiabatic dynamic logic circuits using nanoelectromechanical switches, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), pp.2596-2599, 2015.

A. Galisultanov, Y. Perrin, H. Samaali, H. Fanet, P. Basset et al., Contactless four-terminal mems variable capacitor for capacitive adiabatic logic, vol.27, 2018.
URL : https://hal.archives-ouvertes.fr/hal-01826227

M. Zhao, R. V. Panda, S. S. Sapatnekar, and D. Blaauw, Hierarchical analysis of power distribution networks, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.21, pp.159-168, 2002.

S. Paul, A. Schlaffer, and J. Nossek, Optimal charging of capacitors, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol.47, issue.7, pp.1009-1016, 2000.

S. Houri, A. Valentian, H. Fanet, and C. Poulain, Performance envelope of adiabatic logic circuits based on electrostatic NEM switches, 2013 IEEE Faible Tension Faible Consommation (FTFC), pp.1-4, 2013.

A. K. Bakshi and M. Sharma, Design of basic gates using ecrl and pfal, 2013 International Conference on Advances in Computing, Communications and Informatics (ICACCI), pp.580-585, 2013.

A. Blotti and R. Saletti, Ultralow-power adiabatic circuit semi-custom design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.12, pp.1248-1253, 2004.

J. Park, S. J. Hong, and J. Kim, Energy-saving design technique achieved by latched pass-transistor adiabatic logic, IEEE International Symposium on Circuits and Systems, vol.5, pp.20-22, 2005.

N. A. Nayan, Y. Takahashi, and T. Sekine, Two phase clocked adiabatic static cmos logic and its logic family, vol.10, 2010.

V. G. Oklobdzija, D. Maksimovic, and F. Lin, Pass-transistor adiabatic logic using single power-clock supply, IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, vol.44, pp.842-846, 1997.

E. Mollick, Establishing moore's law, vol.28, pp.62-75, 2006.

C. Isci, A. Buyuktosunoglu, C. Y. Cher, P. Bose, and M. Martonosi, An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget, 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), pp.347-358, 2006.

S. Kvatinsky, E. G. Friedman, A. Kolodny, and L. Schächter, Power grid analysis based on a macro circuit model, 2010 IEEE 26th Convention of Electrical and Electronics Engineers in Israel (IEEEI), pp.708-000712, 2010.

R. Ge, X. Feng, S. Song, H. C. Chang, D. Li et al., PowerPack: Energy Profiling and Analysis of High-Performance Systems and Applications, IEEE Transactions on Parallel and Distributed Systems, vol.21, issue.5, pp.658-671, 2010.

B. G. Watkins, A Low-Power Multiphase Circuit Technique, IEEE Journal of SolidState Circuits, vol.2, issue.4, pp.213-220, 1967.

P. J. Restle, T. G. Mcnamara, D. A. Webber, P. J. Camporese, K. F. Eng et al.,

J. G. Bailey, B. L. Petrovick, B. D. Krauter, and . Mccredie, A clock distribution network for microprocessors, IEEE Journal of Solid-State Circuits, vol.36, pp.792-799, 2001.

A. Todri and M. Marek-sadowska, Power Delivery for Multicore Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.19, issue.12, pp.2243-2255, 2011.
URL : https://hal.archives-ouvertes.fr/lirmm-01248575

Z. Feng and Z. Zeng, Parallel multigrid preconditioning on graphics processing units (GPUs) for robust power grid analysis, 2010 47th ACM/IEEE Design Automation Conference (DAC), pp.661-666, 2010.

G. Qu, Power Management of Multicore Multiple Voltage Embedded Systems by Task Scheduling, 2007 International Conference on Parallel Processing Workshops (ICPPW 2007), pp.34-34, 2007.

D. M. Garcia-mora, J. Garcia-huanaco, V. J. Zuniga-marquez, C. J. Franco-tinoco, F. Yahyaei-moayyed et al., Power delivery network impedance characterization for high speed i/o interfaces using prbs transmissions, IEEE Electromagnetic Compatibility Magazine, vol.7, pp.87-91, 2018.

C. O. Campos-aguillón, R. Celis-cordova, I. K. Hänninen, C. S. Lent, A. O. Orlov et al., A Mini-MIPS microprocessor for adiabatic computing, 2016 IEEE International Conference on Rebooting Computing (ICRC), pp.1-7, 2016.

M. S. Ullah and M. H. Chowdhury, Analytical Models of High-Speed RLC Interconnect Delay for Complex and Real Poles, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.25, pp.1831-1841, 2017.

S. Wong, G. Lee, and D. Ma, Modeling of interconnect capacitance, delay, and crosstalk in vlsi, vol.13, pp.108-111, 2000.

D. Maksimovic and V. G. Oklobdzija, Integrated power clock generators for low energy logic, 26th Annual IEEE Power Electronics Specialists Conference, 1995. PESC '95 Record, vol.1, pp.61-67, 1995.

H. S. Raghav, V. A. Bartlett, and I. Kale, Energy efficiency of 2-step charging powerclock for adiabatic logic, 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), p.61, 2016.

L. Svensson and J. Koller, Adiabatic charging without inductors, 1994.

D. Chernichenko, A. Kushnerov, and S. Ben-yaakov, Adiabatic charging of capacitors by switched capacitor converters with multiple target voltages, pp.1-4, 2012.

V. S. Sathe, J. C. Kao, and M. C. Papaefthymiou, Resonant-Clock Latch-Based Design, IEEE Journal of Solid-State Circuits, vol.43, pp.864-873, 2008.

K. K. Young, Short-channel effect in fully depleted soi mosfets, IEEE Transactions on Electron Devices, vol.36, pp.399-402, 1989.

M. M. Shulaker, G. Hills, R. S. Park, R. T. Howe, K. Saraswat et al., Three-dimensional integration of nanotechnologies for computing and data storage on a single chip, vol.547, pp.74-78, 2017.

S. Han, J. Tang, B. Kumar, A. Falk, D. Farmer et al., High-speed logic integrated circuits with solutionprocessed self-assembled carbon nanotubes, vol.12, 2017.

S. Heinze, J. Tersoff, R. Martel, V. Derycke, J. Appenzeller et al., Carbon nanotubes as schottky barrier transistors, vol.89, p.100, 2002.

Z. Zhang, S. Wang, L. Ding, X. L. Liang, H. L. Xu et al., High-performance n-type carbon nanotube field-effect transistors with estimated sub-10-ps gate delay, vol.92, pp.133117-133117, 2008.

C. Lee, E. Pop, A. D. Franklin, W. Haensch, and H. P. Wong, A compact virtual-source model for carbon nanotube fets in the sub-10-nm regime-part ii: Extrinsic elements, performance assessment, and design optimization, IEEE Transactions on Electron Devices, vol.62, pp.3070-3078, 2015.

C. Wang, J. Zhang, and C. Zhou, Macroelectronic integrated circuits using highperformance separated carbon nanotube thin-film transistors, ACS Nano, vol.4, issue.12, pp.7123-7132, 2010.

J. Laros, K. Pedretti, S. M. Kelly, W. Shu, K. Ferreira et al., Energy delay product, 2013.