NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors, IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2017. ,
URL : https://hal.archives-ouvertes.fr/hal-01633770
Run-Time Instruction Replication for Permanent and Soft Error Mitigation in VLIW Processors, 15th IEEE Int. NEW Circuits And Systems Conference (NEWCAS), 2017. ,
URL : https://hal.archives-ouvertes.fr/hal-01633778
Fine-Grained Hardware Mitigation for Multiple Long-Duration Transients on VLIW Processors, IEEE/ACM Design Automation and Test, 2019. ,
HW initiated idle resource exploitation for heterogeneous fault tolerant VLIW processors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2019. ,
Code size minimization and retargetable assembly for custom epic and vliw instruction formats, ACM Trans. Des ,
, Autom. Electron. Syst, vol.5, issue.4, pp.752-773, 2000.
,
Fault injection for dependability validation of fault-tolerant computing systems, The Nineteenth International Symposium on Fault-Tolerant Computing. Digest of Papers, pp.348-355, 1989. ,
Civa: Custom instruction vulnerability analysis framework, 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits Systems (DDECS), pp.318-323, 2012. ,
Fast and accurate architectural vulnerability analysis for embedded processors using instruction vulnerability factor, Microprocessors and Microsystems, vol.42, pp.113-126, 2016. ,
Comparison of bulk built-in current sensors in terms of transient-fault detection sensitivity, 5th European Workshop on CMOS Variability (VARI), pp.1-6, 2014. ,
URL : https://hal.archives-ouvertes.fr/emse-01099015
A single built-in sensor to check pull-up and pull-down cmos networks against transient faults, 2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp.157-163, 2013. ,
URL : https://hal.archives-ouvertes.fr/lirmm-00968621
Radiation-induced soft errors in advanced semiconductor technologies, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.305-316, 2005. ,
A survey of multicore processors, IEEE Signal Processing Magazine, vol.26, issue.6, pp.26-37, 2009. ,
Fault tolerance in super-scalar and vliw processors, Proceedings of 1992 IEEE Workshop on Fault-Tolerant Parallel and Distributed Systems, pp.193-200, 1992. ,
A software methodology for detecting hardware faults in vliw data paths, IEEE Transactions on Reliability, vol.52, issue.4, pp.458-468, 2003. ,
A software methodology for detecting hardware faults in vliw data paths, DFT, pp.170-175, 2001. ,
Upset hardened memory design for submicron cmos technology, IEEE Transactions on Nuclear Science, vol.43, issue.6, pp.2874-2878, 1996. ,
URL : https://hal.archives-ouvertes.fr/hal-01412461
Resilient Computer System Design, 2015. ,
High-level synthesis of gracefully degradable asics, EDTC, pp.50-54, 1996. ,
An integrated fault-tolerant design framework for vliw processors, DFT, pp.555-562, 2003. ,
Reliable data path design of vliw processor cores with comprehensive error-coverage assessment, MICPRO, vol.34, issue.1, pp.49-61, 2010. ,
Hexagon dsp: An architecture optimized for mobile multimedia and communications, IEEE Micro, vol.34, issue.2, pp.34-43, 2014. ,
Comprehensive analysis of sequential and combinational soft errors in an embedded processor, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.34, issue.10, pp.1586-1599, 2015. ,
Analysis and rtl correlation of instruction set simulators for automotive microcon, p.96 ,
, troller robustness verification, Proceedings of the 52Nd Annual Design Automation Conference, DAC '15, vol.40, pp.1-40, 2015.
Embedded computing: a VLIW approach to architecture, compilers and tools, 2005. ,
A fault tolerance framework in a concurrent programming environment, vol.10, 2014. ,
An efficient bics design for seus detection and correction in semiconductor memories, Design, Automation and Test in Europe, vol.1, pp.592-597, 2005. ,
URL : https://hal.archives-ouvertes.fr/hal-00013724
Damsel?dynamic and applicative measurement of single events in logic, IEEE Transactions on Nuclear Science, vol.65, issue.1, pp.354-361, 2018. ,
Abdellatif Mtibaa, and Brahim Bensalem. Fault tolerant system based on iddq testing, International Journal of Electronics, vol.105, issue.6, pp.1025-1035, 2018. ,
Evaluation of error detection schemes using fault injection by heavy-ion radiation, The Nineteenth International Symposium on Fault-Tolerant Computing. Digest of Papers, pp.340-347, 1989. ,
Application-level resilience modeling for hpc fault tolerance, vol.04, 2017. ,
Combining software-implemented and simulation-based fault injection into a single fault injection method, Twenty-Fifth International Symposium on Fault-Tolerant Computing. Digest of Papers, pp.196-206, 1995. ,
Low cost concurrent error detection in a vliw architecture using replicated instructions, ICPP, 1992. ,
Compiler-directed instruction duplication for soft error detection, DATE, 2005. ,
URL : https://hal.archives-ouvertes.fr/hal-00181269
,
Compiler-assisted soft error detection under performance and energy constraints in embedded systems, ACM Trans. Embed. Comput. Syst, vol.8, issue.4, 2009. ,
Computer aided design of fault-tolerant application specific programmable processors, TC, vol.49, issue.11, pp.1272-1284, 2000. ,
Error self-checking and recovery using lock-step processor pair architecture, 2002. ,
Tackling long duration transients in sequential logic, 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS), pp.137-142, 2016. ,
A long duration transient resilient pipeline scheme, IEEE Transactions on Device and Materials Reliability, vol.17, issue.1, pp.12-19, 2017. ,
Linear algebra operators for gpu implementation of numerical algorithms, ACM Trans. Graph, vol.22, issue.3, pp.908-916, 2003. ,
Mediabench: a tool for evaluating and synthesizing multimedia and communications systems, In MICRO, pp.330-335, 1997. ,
Dynamic code duplication with vulnerability awareness for soft error detection on vliw architectures, ACM Trans. Archit. Code Optim, vol.9, issue.4, 2013. ,
Using bulk built-in current sensors and recomputing techniques to mitigate transient faults in microprocessors, 10th Latin American Test Workshop, pp.1-6, 2009. ,
Using builtin sensors to cope with long duration transient faults in future technologies, IEEE International Test Conference, pp.1-10, 2007. ,
The use of triple-modular redundancy to improve computer reliability, IBM J. Res. Dev, vol.6, issue.2, p.98, 1962. ,
Revisiting vulnerability analysis in modern microprocessors, IEEE Transactions on Computers, vol.64, issue.9, pp.2664-2674, 2015. ,
Reliability challenges for 45nm and beyond, DAC, pp.176-181, 2006. ,
Low-cost protection for ser upsets and silicon defects, Proceedings of the Conference on Design, Automation and Test in Europe, DATE '07, pp.1146-1151, 2007. ,
Mars-c: Modeling and reduction of soft errors in combinational circuits, Proceedings of the 43rd Annual Design Automation Conference, DAC '06, pp.767-772, 2006. ,
Casted: Coreadaptive software transient error detection for tightly coupled cores, 2013. ,
Etiss-ml: A multi-level instruction set simulator with rtl-level fault injection support for the evaluation of cross-layer resiliency techniques, 2018 Design, Automation Test in Europe Conference Exhibition (DATE), pp.609-612, 2018. ,
A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor, Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, vol.36, p.29, 2003. ,
Design for soft error mitigation, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.405-418, 2005. ,
URL : https://hal.archives-ouvertes.fr/hal-00107331
Extratime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level, Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012), pp.1-12, 2012. ,
Carol-fi: An efficient fault-injection tool for vulnerability evaluation of modern hpc parallel accelerators, Proceedings of the Computing Frontiers Conference, CF'17, pp.295-298, 2017. ,
Microarchitectural synthesis of gracefully degradable, dynamically reconfigurable asics, DFT, pp.112-117, 1996. ,
Placement-based ser estimation in the presence of multiple faults in combinational logic, 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp.1-6, 2017. ,
Mgsim -a simulation environment for multi-core research and education, 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), pp.80-87, 2013. ,
Neda: Nop exploitation with dependency awareness for reliable vliw processors, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.391-396, 2017. ,
URL : https://hal.archives-ouvertes.fr/hal-01633770
Run-time instruction replication for permanent and soft error mitigation in vliw processors, 15th IEEE International New Circuits and Systems Conference (NEWCAS), pp.321-324, 2017. ,
URL : https://hal.archives-ouvertes.fr/hal-01633778
Classification of resilience techniques against functional errors at higher abstraction layers of digital systems, ACM Comput. Surv, vol.50, issue.4, 2017. ,
Seat-la: a soft error analysis tool for combinational logic, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06), vol.4, 2006. ,
Fault-tolerant clock synchronization in distributed systems, Computer, vol.23, issue.10, pp.33-42, 1990. ,
August. Design and evaluation of hybrid fault-detection systems, 32nd International Symposium on Computer Architecture (ISCA'05), pp.148-159, 2005. ,
Swift: Software implemented fault tolerance, CGO, CGO '05, pp.243-254 ,
, IEEE Computer Society, 2005.
,
A methodology for system-level fault injection based on gate-level faulty behavior, 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS), pp.1-4, 2013. ,
Hardware-accelerated dynamic binary translation, Proceedings of the Conference on Design ,
DOI : 10.23919/date.2017.7927147
URL : https://hal.archives-ouvertes.fr/hal-01423639
, Europe, vol.17, pp.1062-1067, 2017.
A novel phase-based low overhead fault tolerance approach for vliw processors, ISVLSI, pp.485-490, 2015. ,
DOI : 10.1109/isvlsi.2015.19
Adaptive ilp control to increase fault tolerance for vliw processors, ASAP, pp.9-16, 2016. ,
DOI : 10.1109/asap.2016.7760767
Exploiting idle hardware to provide low overhead fault tolerance for vliw processors, JETC, vol.13, issue.2, 2017. ,
DOI : 10.1145/3001935
Adaptive and polymorphic vliw processor to optimize fault tolerance, energy consumption, and performance, ACM International Conference on Computing Frontiers. sn, 2018. ,
Avoiding pitfalls in fault-injection based comparison of program susceptibility to soft errors, 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, pp.319-330, 2015. ,
Achieving high levels of instruction-level parallelism with reduced hardware complexity, 1997. ,
Fine-grained software-based self-repair of VLIW processors, DFT, pp.41-49, 2011. ,
A comprehensive software-based self-test and self-repair method for statically scheduled superscalar processors, LATS, pp.33-38, 2016. ,
Combining hardware-and software-based self-repair methods for statically scheduled data paths, DFT, pp.90-98, 2010. ,
Hw/sw co-detection of transient and permanent faults with fast recovery in statically scheduled data paths, DATE, pp.723-728, 2010. ,
Hw/sw co-detection of transient and permanent faults with fast recovery in statically scheduled data paths, DATE, pp.723-728, 2010. ,
A process, voltage, and temperature compensated cmos constant current reference, Proceedings of the 2004 IEEE International Symposium on Circuits and Systems, vol.1, 2004. ,
DOI : 10.1109/iscas.2004.1328197
Itanium processor microarchitecture, MICRO, vol.20, issue.5, pp.24-43, 2000. ,
DOI : 10.1109/40.877948
Modeling the effect of technology trends on the soft error rate of combinational logic, DSN, pp.389-398, 2002. ,
Voltaire: Low-cost fault detection solutions for vliw microprocessors, Workshop on Introspective Architecture, 2006. ,
Automated integration of fault injection into the asic design flow, 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp.255-260, 2013. ,
Temperature-aware microarchitecture: Modeling and implementation, ACM Transactions in Architecture and Code Optimisation, vol.1, issue.1, pp.94-125, 2004. ,
DOI : 10.1145/980152.980157
A tool for transient fault analysis in combinational circuits, 2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS), pp.125-128, 2015. ,
Electromagnetic interference (emi) of system-on-package (sop), IEEE Transactions on Advanced Packaging, vol.27, issue.2, pp.304-314, 2004. ,
DOI : 10.1109/tadvp.2004.828817
Exploring the heterogeneous design space for both performance and reliability, 51st ACM/EDAC/IEEE Design Automation Conference (DAC), pp.1-6, 2014. ,
DOI : 10.1145/2593069.2596680
,
, Trimedia cpu64 architecture, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040), pp.586-592, 1999.
The risc-v instruction set, 2013 IEEE Hot Chips 25 Symposium (HCS), pp.1-1, 2013. ,
DOI : 10.1109/hotchips.2013.7478332
Software-based Permanent Fault Recovery Techniques Using Inherent Hardware Redundancy, 2007. ,
Towards high-performance and energy-efficient multi-core processors, CMOS Processors and Memories, pp.29-51, 2010. ,
DOI : 10.1007/978-90-481-9216-8_2
A survey on fault injection techniques, International Arab Journal of Information Technology, vol.1, issue.2, pp.171-186, 2004. ,
URL : https://hal.archives-ouvertes.fr/hal-00105562
, Scaling of the proposed approach
, Per cycle AVF for VEX processor
, Error occurrences per storage structure for the matrix multiplication (Normalized), p.61
Hardware components inserted in the VLIW pipeline, p.67 ,
Simulation tool flow for performance evaluation results, p.68 ,
, 5 permanent errors, p.69
, VLIW enhanced with the proposed mechanism
, Illustration example of the proposed mechanism
, Components of complex FU enhanced with BICS
,
, Proposed mechanism performance for fft benchmark under different number of faults and fault duration
, List of Tables 1.1 VEX Compiled Applications' Profiling
, Bit composition for the used VLIW architecture
, Area of pipeline stages (µm 2 )
, Logical masking for three logic gates
, Per stage IVF for all operations of the
, ID encoding in the information extraction unit
, ) for different n-issue configurations
, Area footprint and power estimation results
Area and power overhead to the unprotected approach, vol.56 ,
, Per stage IVF for all operations of the
, DMR (TMR) performance overhead (%) for the proposed approach with respect to DMR (TMR) without faults
, 2 Performance gain (%) estimation of the proposed approach over existing approaches for multiple permanent errors
, Performance comparison (execution cycles) under several multiple faults and average performance overhead (%)
Step) for each group ,
,