. /**********************************************************/,

L. /*-(c)-douglas and *. Jones,

/. , , 1992.

=. Fft_size_max, , 2048.

. Fft_stages_max-=-11,

, FFT_size = FFT_size_max >> control

=. Fft_stages and . Fft_stages_max,

, Bit_reverse() --re-order before calculating

, rely on the hardware reconfiguration based flexible FFT (IFFT actually) whereas the front-ends, the channel and the receiver were pre and post-processed using a

M. Tran, M. Gautier, and E. Casseau, On the FPGA-based implementation of a flexible waveform from a high-level description: Application to LTE FFT case study, EAI International Conference on Cognitive Radio Oriented Wireless Networks (Crowncom), pp.545-557, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01302652

M. Tran, E. Casseau, and M. Gautier, FPGA-based implementation of a flexible FFT dedicated to LTE standard, Conference on Design and Architectures for Signal and Image Processing (DASIP), Demo Night, pp.1-2, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01354992

J. Gubbi, R. Buyya, S. Marusic, and M. Palaniswami, Internet of Things (IoT): A vision, architectural elements, and future direction, vol.7, pp.1645-1660, 2013.

F. Wortmann and K. Flüchter, Internet of things, Business & Information Systems Engineering, vol.57, pp.221-224, 2015.

G. P. Fettweis, The tactile internet: Applications and challenges, IEEE Vehicular Technology Magazine, vol.9, issue.1, pp.64-70, 2014.
DOI : 10.1109/mvt.2013.2295069

J. Mitola and G. Q. Maguire, Cognitive radio: making software radios more personal, vol.4, pp.13-18, 1999.
DOI : 10.1109/98.788210

H. F. Fitzek and M. D. Katz, Cooperation in wireless networks: principles and applications, 2006.

H. Congzheng, T. Harrold, S. Armour, I. Krikidis, S. Videv et al., Green radio: radio techniques to enable energy-efficient wireless networks, 2011.

J. Mitola, Software radio, 2003.

J. Mitola, The software radio architecture, IEEE Communications magazine, vol.33, pp.26-38, 1995.
DOI : 10.1109/35.393001

M. Cummings and S. Haruyama, FPGA in the software radio, IEEE communications Magazine, vol.37, issue.2, pp.108-112, 1999.
DOI : 10.1109/35.747258

M. G. and G. Ouedraogo, A frame-based Domain-Specific Language for rapid prototyping of FPGA-based Software-Defined Radios, EURASIP Journal on Advances in Signal Processing, p.164, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01084788

M. G. Ouedraogo, Frame-based Modeling for Automatic Synthesis of FPGA-Software Defined Radio, IEEE International Conference on Cognitive Radio Oriented Wireless Networks and Communications (CROWNCOM), 2014.
URL : https://hal.archives-ouvertes.fr/hal-01070549

A. Massouri and T. Risset, FPGA-based Implementation of Multiple PHY Layers of IEEE 802.15. 4 Targeting SDR Platform, SDR-WInnComm. Wireless Innovation Forum, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01005317

E. Lemoine and D. Merceron, Run time reconfiguration of FPGA for scanning genomic databases, FPGAs for Custom Computing Machines, pp.90-98, 1995.

T. T. Ha, Theory and design of digital communication systems, 2010.
DOI : 10.1017/cbo9780511778681

E. A. Lee and D. G. Messerschmitt, Digital communication, 2012.

A. Das, Digital Communication: Principles and system modelling, 2010.

D. Wetteroth, OSI reference model for telecommunications, 2001.

S. G. Wilson, Digital modulation and coding, 1995.

A. Viterbi, Error bounds for convolutional codes and an asymptotically optimum decoding algorithm, IEEE transactions on Information Theory, vol.13, issue.2, pp.260-269, 1967.

G. Kalivas, Digital radio system design, 2009.

T. S. Rappaport, Wireless communications: principles and practice, vol.2, 1996.

J. Mitola and G. Q. Maguire, Cognitive radio: making software radios more personal, vol.4, pp.13-18, 1999.

Z. Xuping and P. Jianguo, Energy-detection based spectrum sensing for cognitive radio, Wireless, Mobile and Sensor Networks IET Conference, pp.944-947, 2007.

V. Turunen, M. Kosunen, A. Huttunen, S. Kallioinen, P. Ikonen et al., Implementation of cyclostationary feature detector for cognitive radios, 2009.

M. Gautier, M. Laugeois, and P. Hostiou, Cyclostationarity detection of DVB-T Signal: testbed and measurement, The First International Conference on Advances in Cognitive Radio, 2011.

C. Kuo and J. Wong, Multi-standard DSP based wireless system, Signal Processing Proceedings, pp.1712-1728, 1998.

S. T. Gul, C. Moy, and J. Palicot, Two scenarios of flexible Multi-standard architecture designs using a multi-granularity exploration," Personal, Indoor and Mobile Radio Communications, 2007.

E. Grayver, Implementing software defined radio, 2012.

J. Zyren and W. Mccoy, Overview of the 3GPP long term evolution physical layer, 2007.

, The LTE standard: Developed by a global community to support paired and unpaired spectrum deployments, 2014.

C. Johnson, LTE in Bullets, 2012.

J. W. Cooley and J. W. Tukey, An algorithm for the machine calculation of complex Fourier series, Mathematics of computation, vol.19, issue.90, pp.297-301, 1965.

D. L. Jones, Decimation-in-time (DIT) Radix-2 FFT, vol.15, 2006.

M. Dardaillon, K. Marquet, T. Risset, and A. Scherrer, Software defined radio architecture survey for cognitive testbeds, Wireless Communications and Mobile Computing Conference (IWCMC), 2012.
URL : https://hal.archives-ouvertes.fr/hal-00736995

M. Ettus, Universal software radio peripheral, 2009.

K. Tan, H. Liu, J. Zhang, Y. Zhang, J. Fang et al., Sora: high-performance software radio using general-purpose multi-core processors, Communications of the ACM, vol.54, pp.99-107, 2011.

T. Ulversoy, Software defined radio: Challenges and opportunities, IEEE Communications Surveys & Tutorials, vol.12, issue.4, pp.531-550, 2010.

G. J. Minden, J. B. Evans, L. Searl, D. Depardo, V. R. Petty et al., Kuar: A flexible software-defined radio development platform, 2nd IEEE International Symposium, pp.428-439, 2007.

P. Horrein, C. Hennebert, and F. Pétrot, Integration of GPU computing in a software radio environment, Journal of Signal Processing Systems, vol.69, pp.55-65, 2012.
URL : https://hal.archives-ouvertes.fr/hal-01332719

B. Bougard, B. D. Sutter, D. Verkest, L. V. Perre, and R. Lauwereins, A coarse-grained array accelerator for software-defined radio baseband processing, vol.4, pp.41-50, 2008.

O. Arnold, E. Matus, B. Noethen, M. Winter, T. Limberg et al., Tomahawk: Parallelism and heterogeneity in communications signal processing MPSoCs, TECS) 13.3s, vol.107, p.24, 2014.

D. N. Truong, W. H. Cheng, T. Mohsenin, Z. Yu, A. T. Jacobson et al., A 167-processor computational platform in 65 nm CMOS, IEEE Journal of Solid-State Circuits, vol.44, pp.1130-1144, 2009.

F. Clermidy, R. Lemaire, X. Popon, D. Ktenas, and Y. Thonnar, An open and reconfigurable platform for 4g telecommunication: Concepts and application, Digital System Design, Architectures, Methods and Tools, p.12, 2009.

C. Jalier, D. Lattard, A. A. Jerraya, G. Sassatelli, P. Benoit et al., Heterogeneous vs homogeneous MPSoC approaches for a mobile LTE modem, Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 2010.
URL : https://hal.archives-ouvertes.fr/lirmm-00436680

C. Schmidt-knorreck, R. Pacalet, A. Minwegen, U. Deidersen, T. Kempf et al., Flexible front-end processing for software defined radio applications using application specific instruction-set processors, Design and Architectures for Signal and Image Processing, p.201, 2012.

, OAI Open Air Interface, 2014.

, WARP, 2016.

, Nutaq, 2017.

Z. Miljanic, I. Seskar, K. Le, and D. Raychaudhuri, The WINLAB network centric cognitive radio hardware platform-WiNC2R, Mobile Networks and Applications 13, pp.533-541, 2008.

A. A. Massouri, FPGA-based Implementation of Multiple PHY Layers of IEEE 802, vol.15
URL : https://hal.archives-ouvertes.fr/hal-01005317

S. Targeting and . Platform, SDR-WInnComm. Wireless Innovation Forum, 2014.

C. S. Olga-zlydareva, Multi-standard wimax/umts system framework based on sdr, Aerospace Conference, 2008.

K. Papadimitriou, A. Dollas, and S. Hauck, Performance of partial reconfiguration in FPGA systems: A survey and a cost mode, ACM Transactions on Reconfigurable Technology and Systems, vol.36, p.24, 2011.

J. Delahaye, G. Gogniat, C. Roland, and P. Bomel, Software radio and dynamic reconfiguration on a DSP/FPGA platform, pp.152-159, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00089395

M. Fingeroff, High-level synthesis: blue book, Xlibris Corporation, 2010.

D. D. Gajski, High-Level Synthesis: Introduction to Chip and System Design, 2012.

G. Martin and G. Smith, High-level synthesis: Past, present, and future, IEEE Design & Test of Computers, vol.26, pp.18-25, 2009.

K. Wakabayashi, C-based behavioral synthesis and verification analysis on industrial design examples, Proceedings of the 2004 Asia and South Pacific Design Automation Conference, 2004.
DOI : 10.1109/iscas.2005.1465989

R. Nane, V. M. Sima, C. Pilato, J. Choi, B. Fort et al., A survey and evaluation of fpga high-level synthesis tools, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.35, pp.1591-1604, 2016.

C. Pilato and F. Ferrandi, Bambu: A modular framework for the high level synthesis of memoryintensive applications, Field Programmable Logic and Applications (FPL), 2013 23rd International Conference on, 2013.

R. Nane, V. M. Sima, B. Olivier, R. Meeuws, Y. Yankova et al., DWARV 2.0: A CoSy-based Cto-VHDL hardware compiler, Field Programmable Logic and Applications (FPL), 22nd International Conference on, 2012.

A. Canis, J. Choi, M. Aldham, V. Zhang, A. Kammoona et al., LegUp: high-level synthesis for FPGA-based processor/accelerator systems, Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays, 2011.

P. Coussy, G. Lhairech-lebreton, D. Heller, and E. Martin, GAUT-a free and open source high-level synthesis tool, IEEE DATE, 2010.

. Xilinx, Vivado HLS

K. Wakabayashi and T. Okamoto, C-based SoC design flow and EDA tools: An ASIC and system vendor perspective, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, pp.1507-1522, 2000.

. Calypto-design and . Systems, Catapult-C

. Xilinx, Vivado Design Suite User Guide -High Level Synthesis, 2016.

B. Rousseau, P. Manet, T. Delavallée, I. Loiselle, and J. D. Legat, Dynamically reconfigurable architectures for software-defined radio in professional electronic applications," in Design technology for heterogeneous embedded systems, pp.437-445, 2012.

C. Bolchini, A. Miele, and C. Sandionigi, A novel design methodology for implementing reliabilityaware systems on SRAM-based FPGAs, IEEE Transactions on Computers, vol.60, issue.12, pp.1744-1758, 2011.

D. Dye, Partial reconfiguration of Xilinx FPGAs using ISE, GNU Radio, 2012.

Y. Lin, R. Mullenix, M. Woh, S. Mahlke, T. Mudge et al., SPEX: A programming language for software defined radio, Software Defined Radio Technical Conference and Product Exposition, 2006.

A. Gelonch, X. Revès, V. Marojevik, and R. Ferrús, P-HAL: a middleware for SDR applications, SDR Forum Technical Conference, 2005.

G. Jianxin, Y. Xiaohui, G. Jun, and L. Quan, The software communication architecture specification: evolution and trends, Computational Intelligence and Industrial Applications, pp.341-344, 2009.

G. S. Ouedraogo, M. Gautier, and O. Sentieys, A frame-based domain-specific language for rapid prototyping of FPGA-based software-defined radios, EURASIP Journal on Advances in Signal Processing, p.164, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01084788

E. Casseau and B. L. Gal, Design of multi-mode application-specific cores based on high-level synthesis, INTEGRATION, the VLSI journal, vol.45, pp.9-21, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00746813

E. Lemoine and D. Merceron, Run time reconfiguration of FPGA for scanning genomic databases, IEEE Symposium on FPGAs for Custom Computing Machines, pp.90-98, 1995.

, Increasing Design Functionality with Partial and Dynamic Reconfiguration in 28nm FPGAs

P. Mankar, L. P. Thakare, and A. Y. Deshmukh, Design of Reconfigurable FFT/IFFT for Wireless Application, International Journal of Engineering Research and General Science, vol.2, issue.3, pp.292-297, 2014.

E. Oruklu, R. Hanley, S. Aslan, C. Desmouliers, F. M. Vallinaoruklu et al., System-on-chip design using high-level synthesis tools, Circuits and Systems, vol.3, issue.01, 2012.

M. A. Mohamed, FPGA synthesis of VHDL OFDM system, pp.1-25, 2013.

. Xilinx, Xilinx UG369 Virtex-6 FPGA DSP48E1 Slice, User Guide, 2011.

K. Papadimitriou, Partial Reconfiguration Cost Calculator

J. W. Cooley and J. W. Tukey, An algorithm for the machine calculation of complex Fourier series, vol.90, pp.297-301, 1965.

F. Semiconductor-incorporated, Software Optimization of DFTs and IDFTs Using the StarCore SC3850 DSP Core, Application Note AN3980, 2009.

H. Congzheng, T. Harrold, S. Armour, I. Krikidis, S. Videv et al., Green radio: radio techniques to enable energy-efficient wireless networks, pp.46-54, 2011.

B. Rousseau, P. Manet, T. Delavallée, I. Loiselle, and J. D. Legat, Dynamically reconfigurable architectures for software-defined radio in professional electrionic applications," in Design technology for heterogeneous embedded systems, p.445, 2012.

O. Arnold, E. Matus, B. Noethen, M. Winter, T. Limberg et al., Tomahawk: Parallelism and heterogeneity in communications signal processing MPSoCs, TECS) 13.3s, p.107, 2014.

K. Papadimitriou, A. Dollas, and S. Hauck, Performance of partial reconfiguration in FPGA systems: A survey and a cost mode, ACM Transactions on Reconfigurable Technology and Systems, p.36, 2011.

;. Ericsson and . Qualcomm, The LTE standard: Developed by a global community to support paired and unpaired spectrum deployments, Signals Research Group, 2014.

!. ,

!. F<,

/. ,

!. B3-,

, !H<3!03!.?A$8I!0#!0#83

. I!c!-!-6#,

!. 0#!p$, 1<-3!`I!03

!. =#-!0f&lt;8$0$, , pp.83-83003

!. H&lt;3!,

!. =3-,

, ! .3;! 8-/$;!

/. 0&lt;8$/,

!. =#-!-0f&lt;8$0$;#83&lt;-c!! and #. 1&lt;,

!. &lt;8$0$, , p.3

!. , 77?-3(8;! 8G=3

. !*+&apos;$%,

, ! -3;;/<-23

!. 0#!-!&quot;#$%&amp;-;-!*+&apos;$%,-*/($!&apos;+0,

. P$1&lt;-3!d!%!n/&gt;=-/&gt;$,

!. , 77?-3(8;!8G=3