I. Anagnostopoulos, A. Bartzas, G. Kathareios, and D. Soudris, A divide and conquer based distributed runtime mapping methodology for many-core platforms, pp.111-116, 2012.

T. Austin, E. Larson, and D. Ernst, SimpleScalar: An Infrastructure for Computer System Modeling, Computer, vol.35, issue.2, pp.59-67, 2002.

R. Azevedo, S. Rigo, M. Bartholomeu, G. Araujo, C. Araujo et al., The ArchC Architecture Description Language and Tools, International Journal of Parallel Programming, vol.33, issue.5, pp.453-484, 2005.

R. Banakar, S. Steinke, M. Bo-sik-lee;-balakrishnan, and P. Marwedel, Scratchpad memory: a design alternative for cache on-chip memory in embedded systems, pp.73-78, 2002.

L. Benini and G. De-micheli, Networks on chips: a new SoC paradigm, IEEE Computer, vol.35, issue.1, pp.70-78, 2002.

L. Benini, E. Flamand, D. Fuin, and D. Melpignano, P2012: Building an Ecosystem for a Scalable, Modular and High-Efficiency Embedded Computing Accelerator, pp.983-987, 2012.

N. Binkert, The gem5 simulator, ACM SIGARCH Computer Architecture News, v, vol.39, issue.2, p.7, 2011.

C. Bolchini, M. Carminati, A. Miele, A. Das, A. Kumar et al., Runtime mapping for reliable many-cores based on energy/performance tradeoffs, pp.58-64, 2013.

R. Busseuil, L. Barthe, G. Almeida, L. Ost, F. Bruguier et al., Open-Scale: A Scalable, Open-Source NoC-based MPSoC for Design Space Exploration, pp.357-362, 2011.
URL : https://hal.archives-ouvertes.fr/hal-01139181

E. Carara, R. Oliveira, N. Calazans, and F. Moraes, HeMPS-a Framework for NoCbased MPSoC Generation, pp.1345-1348, 2009.

E. Carvalho, N. Calazans, and F. Moraes, Dynamic Task Mapping for MPSoCs, IEEE Design and Test of Computers, vol.27, issue.5, pp.26-35, 2010.

G. M. Castilhos, M. Mandelli, G. A. Madalozzo, and F. Moraes, Distributed resource management in NoC-based MPSoCs with dynamic cluster sizes, pp.153-158, 2013.

J. Ceng, A High-Level Virtual Platform for Early MPSoC Software Development, pp.11-20, 2009.

T. Chantem, Enhancing multicore reliability through wear compensation in online assignment and scheduling, pp.1373-1378, 2013.

J. Chen, Approximation Algorithms for Multiprocessor Energy-Efficient Scheduling of Periodic Real-Time Tasks with Uncertain Task Execution Time, pp.13-23, 2008.

C. Chou and R. Marculescu, Incremental Runtime Application Mapping for Homogeneous NoCs with Multiple Voltage Levels, pp.161-166, 2007.

!. ,

C. Chou and R. Marculescu, User-Aware Dynamic Task Allocation in Networkson-Chip, pp.1232-1237, 2008.

C. Chou and R. Marculescu, Runtime task allocation considering user behavior in embedded multiprocessor networks-on-chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, issue.1, pp.78-91, 2010.

A. K. Coskun, Temperature Aware Task Scheduling in MPSoCs, pp.1-6, 2007.

A. K. Coskun, Dynamic thermal management in 3D multicore architectures, pp.1410-1415, 2009.

Y. Cui, W. Zhang, and H. Yu, Decentralized Agent Based Re-Clustering for Task Mapping of Tera-Scale Network-on-Chip System, pp.2437-2440, 2012.

A. Das, A. Kumar, and B. Veeravalli, Reliability-driven task mapping for lifetime extension of networks-on-chip based multiprocessor systems, pp.689-694, 2013.

A. Das, Temperature aware energy-reliability trade-offs for mapping of throughput-constrained applications on multimedia MPSoCs, pp.1-6, 2014.

B. D. De-dinechin, R. Ayrignac, P. Beaucamps, P. Couvert, B. Ganne et al., A clustered manycore processor architecture for embedded and accelerated applications, pp.1-6, 2013.

L. Duenha, M. Guedes, H. Almeida, M. Boy, and R. Azevedo, MPSoCBench: A toolset for MPSoC system level evaluation, pp.164-171, 2014.

M. A. Faruque, ADAM: Runtime Agent-based Distributed Application Mapping for on-chip Communication, pp.760-765, 2008.

F. Fazzino, M. Palesi, and D. Patti, Noxim: Network-on-chip simulator, 2008.

R. F. Garibotti, Exploration of Compute Accelerators for High Performance Computing, vol.108, 2014.

Y. Ge, Distributed task migration for thermal management in many-core systems, In: DAC, pp.579-584, 2010.

I. Gray and N. C. Audsley, Challenges in software development for multicore System-on-Chip development, pp.115-121, 2012.

A. Hartman, Lifetime improvement through runtime wear-based task mapping, pp.13-22, 2012.
DOI : 10.1145/2380445.2380455

J. Henkel, Reliable on-chip systems in the nano-era: Lessons learnt and future trends, pp.1-10, 2013.

P. K. Hölzenspies, G. J. Smit, and J. Kuper, Mapping streaming applications on a reconfigurable MPSoC platform at runtime, pp.1-4, 2007.

P. K. Hölzenspies, J. L. Hurink, J. Kuper, and G. J. Smit, Runtime Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC)". In: DATE, pp.212-217, 2008.

!. ,

M. Hosseinabady and J. Nunez-yanes, Runtime resource management in faulttolerant network on reconfigurable chips, pp.574-577, 2009.
DOI : 10.1109/fpl.2009.5272400

URL : https://research-information.bristol.ac.uk/files/3017014/hosseinabady_IEEE_FPL09.pdf

W. Hu, X. Tang, T. ;-bin-xie;-chen, and D. Wang, An Efficient Power-Aware Optimization for Task Scheduling on NoC-based Many-core System, pp.171-178, 2010.

L. Huang, Lifetime reliability-aware task allocation and scheduling for MPSoC platforms, pp.51-56, 2009.

, International Business Strategies, Inc. (IBS), 2013.

L. Indrusiak, End-to-end Schedulability Tests for Multiprocessor Embedded Systems based on Networks-on-Chip with Priority-Preemptive Arbitration, Journal of Systems Architecture, v, vol.60, issue.7, pp.553-561, 2014.

. Intel, The Intel® Xeon Phi? Coprocessor, 2012.

, International Tecnology Roadmap for Semiconductors, 2013.

A. Jantsch, Modeling Embedded Systems and SoC's: Concurrency and Time in Models of Computation, p.375, 2003.

R. Jejurikar, C. Pereira, and R. Gupta, Leakage aware dynamic voltage scaling for real-time embedded systems, pp.275-280, 2004.

E. Khajekarimi and M. R. Hashemi, Communication and congestion aware runtime task mapping on heterogeneous MPSoCs, pp.127-132, 2012.

S. Kobbe, L. Bauer, D. Lohmann, W. Schroder-preikschat, and J. Henkel, DistRM: Distributed Resource Management for On-Chip Many-Core Systems, pp.119-128, 2011.

R. Lemaire, S. Thuries, and F. Heiztmann, A flexible modeling environment for a NoC-based multicore architecture, High Level Design Validation and Test Workshop, pp.140-147, 2012.

Z. Liu, Task Migrations for Distributed Thermal Management Considering Transient Effects, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v, vol.23, issue.2, pp.397-401, 2015.

S. Lu, C. Lu, and P. Hsiung, Congestion-and energy-aware runtime mapping for tile-based network-on-chip architecture, Frontier Computing. Theory, Technologies and Applications, pp.300-305, 2010.

A. Lukovic and L. Fiorin, An Automated Design Flor for NoC-based MPSoCs on FPGAs, pp.58-64, 2008.

M. G. Mandelli, L. C. Ost, E. A. Carara, G. M. Guindani, T. Rosa et al., Energy-aware dynamic task mapping for NoC-based MPSoCs, pp.1676-1679, 2011.

M. G. Mandelli, L. C. Ost, A. M. Amory, and F. Moraes, Multi-Task Dynamic Mapping onto NoC-based MPSoCs, pp.191-196, 2011.

M. Mandelli, G. M. Castilhos, and F. G. Moraes, Enhancing Performance of MPSoCs through Distributed Resource Management, ICECS, pp.544-547, 2012.

!. ,

M. Mandelli, F. Rosa, L. Ost, G. Sassatelli, and F. G. Moraes, MPSoC Modeling for Reducing Software Development, pp.489-492, 2013.
URL : https://hal.archives-ouvertes.fr/lirmm-01419123

M. Mandelli, L. Ost, G. Sassatelli, and F. Moraes, Trading-off system load and communication in mapping heuristics for improving NoC-based MPSoCs reliability, pp.392-396, 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01419118

A. Marongiu and L. Benini, An OpenMP Compiler for Efficient Use of Distributed Scratchpad Memory in MPSoCs, IEEE Transactions on Computers, vol.62, issue.1, pp.222-236, 2012.

A. Martins, D. Silva, G. Castilhos, T. Monteiro, and F. Moraes, A method for NoC-based MPSoC energy consumption estimation, pp.427-430, 2014.

M. Meier, M. Engel, M. Steinkamp, and O. Spinczyk, LavA: An Open Platform for Rapid Prototyping of MPSoC, pp.452-457, 2010.

B. Meyer, Cost-effective lifetime and yield optimization for NoC-based MPSoCs, ACM Transactions on Design Automation Electronic Systems, vol.19, issue.2, p.2014

F. Moraes, N. Calazans, A. Mello, L. Möller, and L. Ost, HERMES: an Infrastructure for Low Area Overhead Packet-switching Networks on Chip, Integration, the VLSI Journal, vol.38, issue.1, pp.69-93, 2004.

S. Murali, Temperature-aware processor frequency assignment for MPSoCs using convex optimization, pp.111-116, 2007.

A. Ngouanga, G. Sassatelli, L. Torres, T. Gil, A. Soares et al., A contextual re-sources use: a proof of concept through the APACHES platform, pp.42-47, 2006.

L. C. Ost, L. S. Indrusiak, S. Maatta, M. G. Mandelli, J. Nurmi et al., Model-based design flow for NoC-based MPSoCs, pp.750-753, 2010.

L. C. Ost, M. G. Mandelli, G. M. Almeida, L. S. Indrusiak, L. S. Moller et al., Exploring dynamic mapping impact on NoC-based MPSoCs performance using a model-based framework, pp.185-190, 2011.

L. C. Ost, G. M. Almeida, M. G. Mandelli, E. Wachter, S. Varyani et al., Exploring Heterogeneous NoCbased MPSoCs: from FPGA to High-Level Modeling, pp.1-8, 2011.

L. C. Ost, S. Varyani, M. G. Mandelli, E. Wachter, G. M. Almeida et al., Exploring Adaptive Techniques in Heterogeneous MPSoCs based on Virtualization, ACM Transactions on Reconfigurable Technology and Systems, vol.5, issue.3, pp.1-11, 2012.

L. C. Ost, M. G. Mandelli, G. M. Almeida, L. S. Moller, L. S. Indrusiak et al., Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified modelbased approach, ACM Transactions on Embedded Computing Systems, vol.12, issue.3, pp.1-22, 2013.
URL : https://hal.archives-ouvertes.fr/lirmm-00818925

, Available at: www.ovpworld.org/technology_ovpsim.php ! !, OVP, 2013.

P. Paulin, C. Pilkington, M. Langevin, E. Bensoudane, D. Lyonnard et al., Parallel Pragramming Models for a Multiprocessor SoC Platform Applied to Networking and Multimedia, pp.667-680, 2006.

C. A. Petry, E. W. Wachter, G. M. De-castilhos, F. G. Moraes, and N. Calazans, A spectrum of MPSoC models for design space exploration and its use, RSP, pp.30-35, 2012.

W. Rekik, M. Said, and N. Amor, Virtual Prototyping of Multiprocessor Architectures Using the Open Virtual Platform, pp.1-6, 2013.

F. Rosa, L. Ost, R. Reis, and G. Sassatelli, Instruction-driven Timing CPU Model for Efficient Embedded Software Development using OVP, pp.855-858, 2013.
URL : https://hal.archives-ouvertes.fr/lirmm-01419122

F. Rosa, L. Ost, T. Raupp, F. Moraes, and R. Reis, Fast energy evaluation of embedded applications for many-core systems, pp.1-6, 2014.

C. Roth, H. Bucher, S. Reder, F. Buciuman, O. Sander et al., A SystemC modeling and simulation methodology for fast and accurate parallel MPSoC simulation, pp.1-6, 2013.

A. Schranzhofer, J. Chen, and L. Thiele, Dynamic Power-Aware Mapping of Applications onto Heterogeneous MPSoC Platforms, IEEE Transactions on Industrial Informatics, vol.6, issue.4, pp.692-707, 2010.

A. Shabbir, A. Kumar, B. Mesman, and H. Corporaal, Distributed Resource Management for Concurrent Execution of Multimedia Applications on MPSoC Platforms, pp.132-139, 2011.

. Simics, Available at : www.windriver.com/products/simics

A. K. Singh, . Wu, A. Prakash, and T. Srikanthan, Mapping Algorithms for NoC-based Heterogeneous MPSoC Platforms, pp.133-140, 2009.

A. K. Singh, Eficient heuristics for minimizing communication overhead in NoC-based heterogeneous MPSoC platforms, pp.55-60, 2009.

A. K. Singh, Communication-aware heuristics for runtime task mapping on NoC-based MPSoC platforms, Journal of Systems Architecture: the EUROMICRO Journal, vol.56, issue.7, pp.242-255, 2010.

A. Singh, Mapping on multi/many-core systems: survey of current and emerging trends, pp.1-10, 2013.

L. T. Smit, J. L. Hurink, and G. J. Smit, Runtime mapping of applications to a heterogeneous SoC, pp.78-81, 2005.

J. Tan, L. Zhang, V. Fresse, A. Legrand, and D. Houzet, A predictive and parametrized architecture for image analysis algorithm implementations on FPGA adapted to multispectral imaging, pp.1-8, 2008.
URL : https://hal.archives-ouvertes.fr/ujm-00353184

G. Tian and O. Hammami, Performance Measurements of Synchronization Mechanisms on 16PE NoC-based Multi-Core with Dedicated Synchronization and Data NoC, pp.988-991, 2009.

, Tile-GX Processor Family, 2010.

. V. Tiwari, Power analysis of embedded software: a first step towards software power minimization, IEEE Transactions Very Large Scale Integration Systems, pp.437-445, 1994.

N. Ventroux, . Guerre, T. Sassolas, L. Moutaoukil, G. Blanc et al., SESAM: An MPSoC Simulation Environment for Dynamic Application Processing, pp.1880-1886, 2010.

C. Villavieja, Y. ;-etsion, A. Ramirez, and N. Navarro, FELI: HW/SW Support for On-Chip Distributed Shared Memory in Multicores, Euro-Par, pp.282-294, 2011.

Z. Wang, System-level reliability exploration framework for heterogeneous MPSoC, pp.9-14, 2014.

W. Huang and ;. , HotSpot: a compact thermal modeling methodology for earlystage VLSI design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, v, vol.14, issue.5, pp.501-513, 2006.

A. Weichslgartner, S. Wildermann, and J. Teich, Dynamic Decentralized Mapping of Tree-Structured Applications on NoC Architectures, pp.201-209, 2011.

S. Wildermann, T. Ziermann, and J. Teich, Run time Mapping of Adaptive Applications onto Homogeneous NoC-based Reconfigurable Architectures, pp.514-517, 2009.

C. Woszezenki, Dissertação de Mestrado, Programa de Pós-Graduação em Ciência da Computação, PUCRS, p.121, 2007.

Y. Wu, Distributed thermal management for embedded heterogeneous MPSoCs with dedicated hardware accelerators, In: ICCD, pp.183-189, 2011.

T. Ye, L. Benini, and G. De-micheli, Analysis of Power Consumption on Switch Fabrics in Network Routers, pp.524-529, 2002.

M. Yourst, PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator, pp.23-34, 2007.

D. Zhang, X. Zeng, Z. Wang, W. Wang, and X. Chen, MCVP-NoC: Many-Core Virtual Platform with Networks-on-Chip support, pp.28-31, 2013.

L. ,

L. ,

L. ,

L. ,

L. ,