H. Aae-+-13a]-a-amouri, . Amrouch, . Ebi, M. Henkel, and . Tahoori, Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits, Field-Programmable Custom Computing Machines (FCCM), 2013 IEEE 21st Annual International Symposium on, pp.57-60, 2013.

H. Aae-+-13b]-a-amouri, . Amrouch, . Ebi, M. Henkel, and . Tahoori, Accurate Thermal-Profile Estimation and Validation for FPGA-Mapped Circuits, Field-Programmable Custom Computing Machines (FCCM), 2013 IEEE 21st Annual International Symposium on, pp.57-60, 2013.

. Aes-+-13]-hussam, T. Amrouch, J. Ebi, S. Schneider, J. Parameswaran et al., Analyzing the thermal hotspots in FPGA-based embedded systems, Field Programmable Logic and Applications (FPL), 2013 23rd International Conference on, pp.1-4, 2013.

A. Apache, Sentinel-ti thermal simulation

, ARM. Cortex-A9 Technical Reference Manual, 2010.

, ARM. Intelligent Energy Management (IEM), 2010.

, ARM. ARM DS-5 Development Studio, 2015.

L. Barthe, . Cargnini, L. Benoit, and . Torres, The SecretBlaze : A Configurable and Cost-Effective Open-Source Soft-Core Processor, Parallel and Distributed Processing Workshops and Phd Forum, pp.310-313, 2011.

. Roland-e-best, Phase locked loops. McGraw-Hill Professional, 2007.

A. Bakker and J. Huijsing, A low-cost high-accuracy CMOS smart temperature sensor, Solid-State Circuits Conference, 1999. ESSCIRC '99. Proceedings of the 25th European, pp.302-305, 1999.

S. Bhagavatula and B. Jung, A Low Power Real-time On-Chip Power Sensor in 45-nm SOI. Circuits and Systems I : Regular Papers, IEEE Transactions on, vol.59, issue.7, pp.1577-1587, 2012.

W. Bircher and L. , Complete System Power Estimation Using Processor Performance Events. Computers, IEEE Transactions on, vol.61, issue.4, pp.563-577, 2012.

P. Bratek and . Kos, Temperature sensors placement strategy for fault diagnosis in integrated circuits, Semiconductor Thermal Measurement and Management, pp.245-251, 2001.

L. Avrim, P. Blum, and . Langley, Selection of relevant features and examples in machine learning, Artificial Intelligence, vol.97, issue.1-2, pp.245-271, 1997.

F. Bruguier, Characterization and monitoing methods of technological and environmental changes for adaptive reconfigurable systems, Theses, 2012.
URL : https://hal.archives-ouvertes.fr/tel-00965377

D. Brooks, V. Tiwari, and M. Martonosi, Wattch : a framework for architectural-level power analysis and optimizations, vol.28, 2000.

W. Bircher, . Valluri, L. Law, and . John, Runtime identification of microprocessor energy saving opportunities, Low Power Electronics and Design, 2005. ISLPED '05. Proceedings of the 2005 International Symposium on, pp.275-280, 2005.

F. Bellosa, A. W. El, M. Waitz, and S. Kellner, Event-Driven Energy Accounting for Dynamic Thermal Management, Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP'03), 2003.

, An integrated cache and memory access time, cycle time, area, leakage, and dynamic power model, 2011.

D. Chen, Y. Cong, and . Fan, Low-power high-level synthesis for FPGA architectures, Low Power Electronics and Design, 2003. ISLPED '03. Proceedings of the 2003 International Symposium on, pp.134-139, 2003.

R. Caruana and D. Freitag, Greedy Attribute Selection, Proceedings of the Eleventh International Conference on Machine Learning, pp.28-36, 1994.

J. and A. Hemani, Distributed DVFS using rationally-related frequencies and discrete voltage levels, Low-Power Electronics and Design (ISLPED), 2010.

, ACM/IEEE International Symposium on, pp.247-252, 2010.

J. Chen, C. Choi, H. Kim, W. Song, J. Song et al., ePRO-MP : A Tool for Profiling and Optimizing Energy and Performance of Mobile Multiprocessor Applications, Sci. Program, vol.17, issue.4, pp.285-294, 2007.

J. Harold, B. A. Curnow, and . Wichmann, A synthetic benchmark, The Computer Journal, vol.19, issue.1, pp.43-49, 1976.

B. Datta and W. Burleson, Low power on-chip thermal sensors based on wires, IFIP International Conference on, pp.258-263, 2007.

J. Jack, J. R. Dongarra, . Bunch, B. Cleve, G. Moler et al., LINPACK users' guide, vol.8, 1979.

A. Devaney, A filtered backpropagation algorithm for diffraction tomography, Ultrasonic imaging, vol.4, issue.4, pp.336-350, 1982.

M. Dash and . Liu, Feature Selection for Classification. Intelligent Data Analysis, vol.1, pp.131-156, 1997.

A. Carvalho-de-melo, The new linux perftools, Slides from Linux Kongress, 2010.

S. Divekar, . Tiwari-;-dan, S. Ernst, S. Das, D. Lee et al., Trevor Mudge, Nam Sung Kim, and Others. Razor : circuit-level correction of timing errors for low-power operation, Green Computing Communication and Electrical Engineering, pp.10-20, 2004.

T. Ebi, M. Faruque, and J. Henkel, TAPE : Thermal-aware agent-based power econom multi/many-core architectures, IEEE/ACM International Conference on Computer-Aided Design-Digest of Technical Papers, pp.302-309, 2009.

T. Ebi, H. Rauchfuss, A. Herkersdorf, and J. Henkel, Agentbased thermal management using real-time I/O communication relocation for 3D many-cores, Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, pp.112-121, 2011.

J. Franco, . Boemo, L. Castillo, and . Parrilla, Ring oscillators as thermal sensors in FPGAs : Experiments in low voltage, Programmable Logic Conference (SPL), 2010 VI Southern, pp.133-137, 2010.

, FLIR. FLIR SC6000 Series MWIR Science-Grade Cameras

F. Silver and S. Mwir, , 2009.

. Jerome-h-friedman, Multivariate adaptive regression splines. The annals of statistics, pp.1-67, 1991.

J. Gustafsson, A. Betts, A. Ermedahl, and B. Lisper, The Mälardalen WCET Benchmarks-Past, Present and Future, pp.137-147, 2010.

I. Guyon and A. Elisseeff, An Introduction to Variable and Feature Selection, J. Mach. Learn. Res, vol.3, pp.1157-1182, 2003.

M. Gutlein, . Frank, A. Hall, and . Karwath, Large-scale attribute selection using wrappers, Computational Intelligence and Data Mining, 2009. CIDM '09. IEEE Symposium on, pp.332-339, 2009.

M. Ghasemazar, Robust Optimization of a Chip Multiprocessor's Performance Under Power and Thermal Constraints, Proceedings of the 2012 IEEE 30th International Conference on Computer Design, pp.108-114, 2012.

. David-e-goldberg, Genetic Algorithms in Search, Optimization and Machine Learning, 1989.

D. Jean, J. Gibbons, and . Pratt, P-Values : Interpretation and Methodology, The American Statistician, vol.29, issue.1, pp.20-25, 1975.

M. Guthaus, J. S-ringenberg, T. Ernst, . Austin, R. Mudge et al., MiBench : A free, commercially representative embedded benchmark suite, Workload Characterization, pp.3-14, 2001.

A. Mark and . Hall, Correlation-based feature selection for machine learning, 1998.

H. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron et al., Hotspot : Acompact Thermal Modeling Methodology for Early-stage VLSI Design, IEEE Trans. Very Large Scale Integr. Syst, vol.14, issue.5, pp.501-513, 2006.

R. Herveille and . Others, A framework for dynamic energy efficiency and temperature management, Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, vol.3, pp.202-213, 2000.

C. Isci and . Martonosi, Runtime power monitoring in high-end processors : methodology and empirical data, Microarchitecture, 2003. MICRO-36. Proceedings. 36th Annual IEEE/ACM International Symposium on, pp.93-104, 2003.

. Infratec, Infrared camera series VarioCAM hr head xxx

, ITRS. International Technology Roadmap for Semiconductors : Reports and Ordering Information, 2013.

P. Kaufmann and M. Platzner, A Hardware / Software Infrastructure for Performance Monitoring on LEON3 Multicore Platforms

S. Kaxiras and . Xekalakis, 4T-Decay Sensors : A New Class of Small, Fast, Robust, and Low-Power, Temperature/Leakage Sensors, Proceedings of the 2004 International Symposium on, pp.108-113, 2004.

J. Levon, OProfile manual, 2004.

Q. Liang, Performance Monitor Counter data analysis using Counter Analyser, 2009.

L. Charles, The future of power management in the mobile computing market, 2013.

J. Long, G. Seda-ogrenci-memik, R. Memik, and . Mukherjee, Thermal Monitoring Mechanisms for Chip Multiprocessors, ACM Trans. Archit. Code Optim, vol.5, issue.2, p.33, 2008.

S. Lesecq, D. Puschini, E. Beigné, P. Vivet, and Y. Akgul, Lowcost and robust control of a DFLL for multi-processor system-on-chip, Proceedings of the 18th World Congress of IFAC, 2011.

X. Li, M. Rong, T. Liu, and L. Zhou, Research of thermal sensor allocation and placement based on dual clustering for microprocessors, SpringerPlus, vol.2, issue.1, p.253, 2013.

K. Lee, W. Skadron, and . Huang, Analytical model for sensor placement on microprocessors, Computer Design : VLSI in Computers and Processors, 2005. ICCD 2005. Proceedings. 2005 IEEE International Conference on, pp.24-27, 2005.

C. Lively, X. Wu, V. Taylor, S. Moore, H. C. Chang et al., Power-aware predictive models of hybrid (MPI/OpenMP) scientific applications on multicore systems, Computer Science-Research and Development, vol.27, issue.4, pp.245-253, 2012.

K. Makinwa, Smart temperature sensors in standard {CMOS}, Procedia Engineering, vol.5, pp.930-939, 2010.

A. Merkel and F. Bellosa, Task activity vectors : A new metric for temperature-aware scheduling, Third ACM SIGOPS EuroSys Conference, 2008.

A. Mutapcic, S. Boyd, S. Murali, D. Atienza, G. D. Micheli et al., Processor speed control with thermal constraints, IEEE Transactions on Circuits and Systems I : Regular Papers, vol.56, issue.9, 1994.

I. Mansouri, P. Benoit, L. Torres, and F. Clermidy, Fine-grain dynamic energy tracking for system-on-chip, IEEE Transactions on Circuits and Systems. Part, vol.II, issue.6, p.4, 2013.
URL : https://hal.archives-ouvertes.fr/lirmm-00818918

, Microsoft Windows Bitmap Format, 1993.

R. Mukherjee and S. Memik, Systematic temperature sensor allocation and placement for microprocessors, Design Automation Conference, p.43, 2006.

A. Ieee, , pp.542-547, 2006.

R. Seda-ogrenci-memik, M. Mukherjee, J. Ni, and . Long, Optimizing thermal sensor allocation for microprocessors, IEEE Transactions on ComputerAided Design of Integrated Circuits and Systems, vol.27, issue.3, pp.516-527, 2008.

F. Murtagh, A survey of recent advances in hierarchical clustering algorithms, The Computer Journal, vol.26, issue.4, pp.354-359, 1983.

T. Meng and C. Xu, A cross-coupled-structure-based temperature sensor with reduced process variation sensitivity, Journal of Semiconductors, vol.30, issue.4, p.45002, 2009.

A. Nowroz, S. Cochran, and . Reda, Thermal monitoring of real processors : Techniques for sensor allocation and full characterization, Matrix-Matrix Multiplication Timings, 2010. VIII BIBLIOGRAPHIE [NCR10], pp.56-61, 2010.

, Accurate dynamic power model for FPGA based implementations, IJCSI International Journal of Computer Science, vol.9, issue.2, pp.84-89, 2012.

A. Nazma-nowroz and S. Reda, Thermal and power characterization of field-programmable gate arrays, Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays, pp.111-114, 2011.

Y. Umit, R. Ogras, D. Marculescu, and . Marculescu, Variation-adaptive feedback control for networks-on-chip with multiple clock domains, Proceedings of the 45th annual Design Automation Conference, pp.614-619, 2008.

, OpenCore. WISHBONE System-on-Chip (SoC) Interconnection Architecture for Portable IP Cores Revision : B, vol.3, 2002.

. Optris, Camera infrarouge optris PI 40xx

J. Edited, J. Winter, and . Wiley, Genetic Algorithms In Engineering And Computer Science, 1995.

T. Petazzon, Snowball, a new community Linux development platform, 2011.

B. Paskaleva, M. Hayat, Z. Wang, J. Tyo, and S. Krishna, Canonical Correlation Feature Selection for Sensors With Overlapping Bands : Theory and Application. Geoscience and Remote Sensing, IEEE Transactions on, vol.46, issue.10, pp.3346-3358, 2008.

M. Pricopi, T. Somu-muthukaruppan, and V. Venkataramani, Power-Performance Modeling on Asymmetric Multi-Cores, ACM International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES), 2013.

D. Power, Thermal Exploration and Validation

R. Piscitelli and A. D. Pimentel, A Signature-Based Power Model for MPSoC on FPGA, vol.2012, pp.1-13, 2012.

C. Ruething, . Agne, and . Happe, Exploration of ring oscillator design space for temperature measurements on FPGAs, Field Programmable Logic and Applications (FPL), 2012 22nd International Conference on, pp.559-562, 2012.

S. Reda, A. Cochran, and . Nowroz, Improved Thermal Tracking for Processors Using Hard and Soft Sensor Allocation Techniques. Computers, IEEE Transactions on, vol.60, issue.6, pp.841-851, 2011.

O. Roeva, M. Fidanova, and . Paprzycki, Influence of the population size on the genetic algorithm performance in case of cultivation process modelling, Computer Science and Information Systems (FedCSIS), 2013 Federated Conference on, pp.371-376, 2013.

R. Rao, S. Vrudhula, C. Chakrabarti, and N. Chang, An optimal analytical solution for processor speed control with thermal constraints

, Proceedings of the 2006 international symposium on Low power electronics and design, pp.292-297, 2006.

S. Sharifi, R. Ayoub, and T. S. Rosing, TempoMP : Integrated prediction and management of temperature in heterogeneous MPSoCs, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.593-598, 2012.

K. Skadron, T. Abdelzaher, and . Stan, Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management, Proceedings of the 8th International Symposium on HighPerformance Computer Architecture, HPCA '02, p.17, 2002.

F. Sebastiano, K. Breems, . Makinwa, D. Drago, B. Leenaerts et al., A 1.2-V 10-µW NPN-Based Temperature Sensor in 65-nm CMOS With an Inaccuracy of 0.2 ?{\circ} C (3 ?) From-70?{\circ}70?{\circ} C to 125?{\circ}125?{\circ} C. Solid-State Circuits, IEEE Journal, vol.45, issue.12, pp.2591-2601, 2010.

C. Su and A. M. Despain, Cache Design Trade-offs for Power and Performance Optimization : A Case Study, Proceedings of the 1995 International Symposium on Low Power Design, ISLPED '95, pp.63-68, 1995.

F. Semiconductor, Power Management Integrated Circuit (PMIC) for i.MX50/53 Families, 2013.

V. Salapura, . Ganesan, M. Gara, J. Gschwind, R. Sexton et al., NextGeneration Performance Counters : Towards Monitoring Over Thousand Concurrent Events, Performance Analysis of Systems and software, 2008.

S. Santra, M. Guha, S. Haque, F. Ali, and . Udrea, Si Diode Temperature Sensor beyond 300°C, IEEE International Symposium on, vol.2, pp.415-418, 2007.

J. Shue, Thermal Imaging of Power MOSFETs under Thermal Runaway Conditions, 2010.

H. Sasaki, K. Imamura, and . Inoue, Coordinated power-performance optimization in manycores, Parallel Architectures and Compilation Techniques (PACT), 2013.

, 22nd International Conference on, pp.51-61, 2013.

P. Sibi, A. Jones, and P. Siddarth, Analysis of different activation functions using back propagation neural networks, Journal of Theoretical and Applied Information Technology, vol.47, issue.3, pp.1264-1268, 2013.

T. M. Karthik-t-sundararajan, N. Jones, and . Topham, Smart cache : A self adaptive cache architecture for energy efficiency, International Conference on Embedded Computer Systems Architectures Modeling and Simulation, pp.41-50, 2011.

G. Singla, G. Kaur, A. Unver, and Y. Umit, Predictive Dynamic Thermal and Power Management for Heterogeneous Mobile Platforms, Design, Automation Test in Europe Conference Exhibition (DATE), 2015.

V. Szekely, . Marta, M. Kohari, and . Rencz, CMOS sensors for on-line thermal monitoring of VLSI circuits. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.5, issue.3, pp.270-276, 1997.

M. Saen, K. Osada, S. Misaka, T. Yamada, Y. Tsujimoto et al., Yusuke Nitta, and Others. Embedded SoC resource manager to control temperature and data bandwidth, IEEE International Solid-State Circuits Conference. Digest of Technical Papers, 2007.

S. Sharifi and T. Rosing, Accurate Direct and Indirect On-Chip Temperature Sensing for Efficient Dynamic Thermal Management. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.29, issue.10, pp.1586-1599, 2010.

K. Skadron, R. Mircea, W. Stan, S. Huang, K. Velusamy et al., Temperature-aware microarchitecture, ACM SIGARCH Computer Architecture News, vol.31, issue.2, pp.2-13, 2003.

S. Primetime and P. X. , Signoff Power Analysis, 2015.

, DIAS Infrared Systems

B. Shi, Y. Zhang, and A. Srivastava, Dynamic Thermal Management for Single and Multicore Processors Under Soft Thermal Constraints, Proceedings of the 16th ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED '10, pp.165-170, 2010.

, Linear Technology. 6-Bit ? ? ADC with Easy Drive Input Current Cancellation and I2C Interface Title of Citation, 2014.

J. Van-den-brand, . Ciordas, T. Goossens, and . Basten, Congestion-Controlled Best-Effort Communication for Networks-on-Chip, Design, Automation Test in Europe Conference Exhibition, 2007. DATE '07, pp.1-6, 2007.

S. Velusamy, J. Lach, M. Stan, and K. Skadron, Monitoring temperature in FPGA based SoCs. 2005 International Conference on Computer Design, pp.634-637

T. Wilhalm, Intel Performance Counter Monitor-A better way to measure CPU utilization, 2012.

Q. Wu, P. Juang, M. Martonosi, and D. Clark, Formal online methods for voltage/frequency control in multiple clock domain microprocessors, In ACM SIGPLAN Notices, vol.39, pp.248-259, 2004.

R. Wongpiang and P. Muenchaisri, Comparing Heuristic Search Methods for Selecting Sequence of Refactoring Techniques Usage for Code Changing, International MultiConference of Engineers and Computer Scientistis (IMECS2014), vol.I, 2014.

Y. Wang, K. Ma, and X. Wang, Temperature-constrained Power Control for Chip Multiprocessors with Online Model Estimation, Proceedings of the 36th

, Annual International Symposium on Computer Architecture, ISCA '09, pp.314-324, 2009.

M. Ware, . Rajamani, . Floyd, J. Brock, . Rubio et al., Architecting for power management : The IBM #x00AE

, High Performance Computer Architecture (HPCA), pp.1-11, 2010.

, Xilinx. MicroBlaze Processor Reference Guide EDK, vol.12

. Xilinx, Spartan-6 FPGA Configurable Logic Block User Guide

L. Xu, P. Yan, T. Chang-;-r-zamani, and A. Afsahi, A study of hardware performance monitoring counter selection in power modeling of computing systems, Green Computing Conference (IGCC), 2012 International, vol.2, pp.1-10, 1988.

Q. Zhu, L. Lin, M. Shyu, and S. Chen, Feature Selection Using Correlation and Reliability Based Scoring Metric for Video Semantic Detection, IEEE Fourth International Conference on, pp.462-469, 2010.

X. Zhou, Y. Xu, Y. Du, Y. Zhang, and J. Yang, Thermal Management for 3D Processors via Task Scheduling, Parallel Processing, 2008. ICPP '08. 37th International Conference on, pp.115-122, 2008.