A. Wieczorek, V. Djara, F. H. Peters, J. O'callaghan, K. Thomas et al., Inductively coupled plasma deep etching of InP/InGaAsP in Cl2/CH4/H2 based chemistries with the electrode at 20°C, J. Vac. Sci. Technol. B, vol.30, p.51208, 2012.

E. S. Shaqfeh and C. W. Jurgensen, Simulation of reactive ion etching transfer, J. Appl. Phys, issue.66, pp.4664-4675, 1989.

C. Hedlund, H. Blom, and S. Berg, Microloading effect in reactive ion etching, J. Vac. Sci. Technol. A, issue.12, pp.1962-1965, 1994.

C. Constantine, C. Barratt, S. J. Pearton, F. Ren, and J. R. , Lothian « Smooth, low-bias plasma etching of InP in microwave Cl 2 /CH 4 /H 2 mixtures, Applied Physics Letters, vol.61, p.2899, 1992.

Y. Zhao, P. Fay, A. Wibowo, C. Youtsey, and «. , Inductively coupled plasma etching of through-cell vias in III-V multijunction solar cells using SiCl4/Ar, J. Vac. Sci. Technol B, vol.31, pp.6-11, 2013.

J. A. , Del Alamo « Nanometre-scale electronics with III-V compound semiconductors, Nature, vol.479, p.317, 2011.

W. H. Guggina, A. A. Ketterson, E. Andideh, J. Hughes, I. Adesida et al., Characterization of GaAs/AlxGa1?xAs selective reactive ion etching in SiCl4/SiF4 plasmas, J. Vac. Sci. Technol. B, vol.8, pp.1956-1965, 1990.

T. Maeda, J. W. Lee, R. J. Shul, J. Han, J. Hong et al.,

Y. Feurprier, . Ch, and G. Cardinaud, Turban « Influence of the gas mixture on the reactive ion etching of InP in CH 4-H 2 plasmas, J. Vac. Sci. Technol B, vol.15, p.1733, 1997.

I. Adesida, K. Nummila, E. Andideh, J. Hughes, C. Caneau et al., Nanostructure fabrication in InP and related compounds, J. Vac. Sci. Technol. B, issue.8, p.1357, 1990.

S. J. Pearton, U. K. Chakrabarti, A. Katz, A. P. Perley, W. S. Hobson et al., Comparison of CH4/H2/Ar reactive ion etching and electron cyclotron resonance plasma etching of Inbased III-V alloys, J. Vac. Sci. Technol B, vol.9, p.1421, 1991.

D. L. Melville, J. G. Simmons, and D. A. , Thompson « Identification of volatile products in low pressure hydrocarbon electron cyclotron resonance reactive ion etching of InP and GaAs, J. Vac. Sci. Technol. B, vol.11, p.2038, 1993.

T. Yoshikawa, Y. Sugimoto, Y. Sakata, T. Takeuchi, M. Yamamoto et al., Smooth etching of various III/V and II/VI semiconductors by Cl2 reactive ion beam etching, J. Vac. Sci. Technol. B, vol.14, p.1764, 1996.

T. Maeda, J. W. Lee, R. J. Shul, J. Han, J. Hong et al., Inductively coupled plasma etching of III-V semiconductors in BCl3-based chemistries. II. InP, InGaAs, InGaAsP, InAs and AlInAs

, Appl. Surf. Sci, issue.143, pp.183-190, 1999.

S. Bouchoule, G. Patriarche, S. Guilet, L. Gatilova, L. Largeau et al., Sidewall passivation assisted by a silicon coverplate during Cl 2-H 2 and HBr inductively coupled plasma etching of InP for photonic devices, J. Vac. Sci. Technol B, vol.26, p.666, 2008.

S. L. Rommel, J. Jang, W. Lu, G. Cueva, L. Zhou et al., Abeles « Effect of H 2 on the etch profile of InP/InGaAsP alloys in Cl 2 / Ar / H 2 inductively coupled plasma reactive ion etching chemistries for photonic device fabrication, J. Vac. Sci. Technol. B, vol.20, p.1327, 2002.

S. Miyakuni, F. L. Hattori, K. Sato, H. Takano, and O. , Low ion energy electron cyclotron resonance etching of InP using a Cl 2 /N 2 mixture, J. Appl. Phys, vol.78, p.5734, 1995.

A. Talbi, Z. Benamara, B. Akkal, B. Gruzza, L. Bideux et al., Chami « Nitridation of InP (100) substrates studied by XPS spectroscopy and electrical analysis, Materials Science and Engineering A, vol.437, p.254, 2006.

F. Ren, J. R. Lothian, W. S. Hobson, J. Lopata, J. A. Caballero et al., Col « BCl 3 /N 2 dry etching of InP, InAlP, and InGaP, J. Vac. Sci. Technol B, vol.14, p.1758, 1996.

S. Guilet, S. Bouchoule, C. Jany, C. S. Corr, and P. Chabert, Optimization of a Cl 2-H 2 inductively coupled plasma etching process adapted to nonthermalized InP wafers for the realization of deep ridge heterostructures, J. Vac. Sci. Technol. B, vol.24, p.2381, 2006.

A. Matsutani, H. Ohtsuki, S. Muta, F. Koyama, K. Iga et al., Mass Effect of Etching Gases in Vertical and Smooth Dry Etching of InP, Jpn. J. Appl. Phys, vol.40, pp.1528-1529, 2001.

A. Matsutani, H. Ohtsuki, S. Muta, F. Koyama, and K. Iga, « Measurement of Plasma Density for Control of Etching Profile in Inductively Coupled Plasma Etching of InP, Jpn. J. Appl. Phys, vol.41, pp.3147-3148, 2002.

A. Wieczorek, V. Djara, F. H. Peters, J. O'callaghan, K. Thomas et al., Inductively coupled plasma deep etching of InP/InGaAsP in Cl2/CH4/H2 based chemistries with the electrode at 20°C, J. Vac. Sci. Technol. B, vol.30, p.51208, 2012.

C. Sun, J. Zhou, B. Xiong, J. Wang, and Y. , Luo « Vertical and Smooth, etching of InP by Cl2/CH4/Ar Inductively Coupled Plasma at Room Temperature » Chin, Phys. Letter, vol.20, issue.8, p.1312, 2003.

U. Peralagu, X. Li, O. Ignatova, Y. C. Fu, D. A. Millar et al., Thayne « Towards a Vertical and Damage Free Post-Etch InGaAs Fin Profile: Dry Etch Processing, Sidewall Damage Assessment and Mitigation Options, ECS Transactions, issue.69, pp.15-36, 2015.
DOI : 10.1149/06905.0015ecst

URL : http://eprints.gla.ac.uk/111329/1/111329.pdf

K. L. , Seaward « Semiconductor damage from inert and molecular gas plasmas, J. Vac. Sci. Technol. B, vol.10, issue.1, p.46, 1992.

M. Rahman, « Channeling and diffusion in dry-etch damage, Journal of Applied Physics, vol.82, p.2215, 1997.

R. Germann, A. Forchel, M. Bresch, and H. P. , Meier « Energy dependence and depth distribution of dry etching-induced damage in III/V semiconductor heterostructures, J. Vac. Sci. Technol. B, vol.7, p.1475, 1989.

N. G. , Stoffel « Molecular dynamics simulations of deep penetration by channeled ions during low-energy ion bombardment of III-V semiconductors, J. Vac. Sci. Technol. B, vol.10, p.651, 1992.

C. H. Chen, D. L. Green, and E. L. , Hu « Diffusion and channeling of low-energy ions: The mechanism of ion damage, J. Vac. Sci. Technol. B, vol.13, p.2355, 1995.

P. D. Wang, M. A. Foad, C. M. Sotomayor-torres, S. Thoms, M. Watt et al., Beaumont « Raman scattering of coupled longitudinal optical phononplasmon modes in dry etched n +GaAs, Journal of Applied Physics, vol.71, p.3754, 1992.
DOI : 10.1063/1.350885

R. Cheung, A. Birnie, J. N. Chapman, S. Thoms, and C. D. , Wilkinson « Evaluation of dry etch damage in nano-structures by direct transmission electron microscopic examination, Microelectron. Eng, vol.11, p.591, 1990.

S. W. Pang, G. A. Lincoln, R. W. Mcclelland, P. D. Degraff, M. W. Geis et al., Piacentini « Effects of dry etching on GaAs, J. Vac. Sci. Technol. B, vol.1, p.1334, 1983.
DOI : 10.1116/1.582741

M. Taneya, Y. Sugimoto, K. Akita, and «. , Characterization of subsurface damage in GaAs processed by Ga + focused ion-beam-assisted Cl 2 etching using photoluminescence, J. Appl. Phys, vol.66, p.1375, 1989.

M. Rahman, N. P. Johnson, M. A. Foad, A. R. Long, M. C. Holland et al., Wilkinson « Model for conductance in dry-etch damaged n-GaAs structures, Appl. Phys. Lett, vol.61, p.2335, 1992.
DOI : 10.1063/1.108235

J. Etrillard, P. Ossart, G. Patriarche, M. Juhel, J. F. Bresse et al., Anisotropic etching of InP with low sidewall and surface induced damage in inductively coupled plasma etching using SiCl 4, J. Vac. Sci. Technol. A, vol.15, p.626, 1997.

K. K. Lee, D. R. Lim, H. Luan, A. Agarwal, and J. Foresi,

, « Effect of size and roughness on light transmission in a Si/SiO 2 waveguide: Experiments and model, Appl. Phys. Lett, vol.77, p.1617, 2000.

M. Wong, K. D. Holland, S. Anderson, S. Rizwan, Z. C. Yuan et al.,

«. Impact and L. Short-wavelength, Line-Edge Roughness on the Variability of Ultrascaled FinFETs, IEEE TRANSACTIONS ON ELECTRON DEVICES, vol.64, issue.3, 2017.

M. M. Lee, J. Yao, M. C. Wu, and «. , Silicon profile transformation and sidewall roughness reduction using hydrogen annealing, 18th IEEE International Conference on Micro Electro Mechanical Systems, 2005.

H. Kuribayashi, R. Hiruta, R. Shimizu, K. Sudoh, and H. Iwasaki, Shape transformation of silicon during hydrogen annealing, J. Vac. Sci. Technol. A, issue.21, p.1279, 2003.

N. Sato and T. Yonehara, Hydrogen annealed silicon-on-insulator, Appl. Phys. Lett, issue.65, p.1924, 1994.

J. Takahashi, T. Tsuchizawa, T. Watanabe, and S. , Itabashi « Oxidation-induced improvement in the sidewall morphology and cross-sectional profile of silicon wire waveguides, J. Vac. Sci. Technol. B, issue.22, p.2522, 2004.

D. K. Sparacin, S. J. Spector, and L. C. , Kimerling « Silicon Waveguide Sidewall Smoothing by Wet Chemical Oxidation, J. Lightwave Technol, issue.23, p.2455, 2005.

D. Liang and D. C. , Hall « Reduction of etched AlGaAs sidewall roughness by oxygen-enhanced wet thermal oxidation, Appl. Phys. Lett, issue.91, p.61110, 2007.

K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan et al., « Overview of atomic layer etching in the semiconductor industry, J. Vac. Sci. Technol A, vol.33, issue.2, p.20802, 2015.

A. Agarwal and M. J. , Kushner « Plasma atomic layer etching using conventional plasma equipment, Journal of Vacuum Science & Technology A, vol.27, issue.1, p.37, 2009.

T. Matsuura, J. Murota, Y. Sawada, and T. Ohmi,

, Self-limited layer-by-layer etching of si by alternated chlorine adsorption and Ar+ ion irradiation, Applied Physics Letters, vol.63, issue.20, pp.2803-2805, 1993.

S. Imai, T. Haga, O. Matsuzaki, T. Hatorri, and M. Matsumura, Atomic Layer Etching of Silicon by Thermal Desorption Method, Jpn. J.Appl. Phys, vol.34, p.5049, 1995.

S. D. Athavale and D. J. Economou, « Realization of atomic layer etching of silicon, J. Vac. Sci. Technol B, vol.14, issue.6, pp.3702-3705, 1996.

K. J. Kanarik, S. Tan, J. Holland, A. Eppler, V. Vahedi et al., , vol.56, p.14, 2013.

H. Sakaue, S. Iseda, and «. , Atomic Layer Controlled Digital Etching of Silicon, Jpn. J. Appl. Phys, vol.29, issue.11, p.2648, 1990.

Y. Aoyagi, K. Shinmura, K. Kawasaki, T. Tanaka, K. Gamo et al., Namba, and I. Nakamoto « Molecular layer etching of GaAs, Appl. Phys. Lett, vol.60, p.968, 1992.

M. Ishii, T. Meguro, H. Kodama, Y. Yamamoto, and Y. Aoyagi, Study of Surface Processes in the Digital Etching of GaAs, vol.31, p.2212, 1992.

K. K. Ko and S. W. , Pang « Controllable layer by layer etching of III-V compound semiconductors with an electron cyclotron resonance source, J. Vac. Sci. Technol. B, vol.11, p.2275, 1993.

S. D. Park, C. K. Oh, J. W. Bae, G. Y. Yeom, T. W. Kim et al., Atomic layer etching of InP using a low angle forward reflected Ne neutral beam, Appl. Phys. Lett, vol.89, p.43109, 2006.

X. Cao, I. Thayne, and «. , Novel high uniformity highly reproductible non-selective wet digital gate recess etch process for InP HEMTs » Microelectronic engineering 67-68, pp.333-337, 2003.

A. Alian, C. Merckling, G. Brammertz, M. Meuris, M. Heyns et al., InGaAs MOS Transistors Fabricated through a Digital-Etch Gate-Recess Process and the Influence of Forming Gas Anneal on Their Electrical Behavior, vol.1, pp.310-314, 2012.

S. Lee, C. Y. Huang, A. D. Carter, D. C. Elias, J. J. Law et al., Rodwell « Record Extrinsic Transconductance (2.45 mS/?m at VDS = 0.5 V) InAs/In0.53Ga0.47As Channel MOSFETs Using MOCVD Source-Drain Regrowth, Proc. VLSI Symp, pp.246-247, 2013.

J. Lin, X. Zhao, D. A. Antoniadis, and J. , del Alamo « A Novel Digital Etch Technique for Deeply Scaled III-V MOSFETs, IEEE ELECTRON DEVICE LETTERS, vol.35, issue.4, 2014.

K. Hennessy, A. Badolato, A. Tamboli, P. M. Petroff, E. Hu et al., Tuning photonic crystal nanocavity modes by wet chemical digital etching, Appl. Phys. Lett, vol.87, p.21108, 2005.

F. Chouchane, B. Salem, G. Gay, M. Martin, E. Pargon et al., Sub-10 nm plasma nanopatterning of InGaAs with nearly vertical and smooth sidewalls for advanced n-fin field effect transistors on silicon, J. Vac. Sci. Technol. B, vol.35, p.21206, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01891230

T. R. Hayes, M. A. Dreisbach, P. M. Thomas, W. C. Dautremontsmith, and L. A. , Heimbrook « Reactive ion etching of InP using CH4/H2 mixtures: Mechanisms of etching and anisotropy, J. Vac. Sci. Technol. B, issue.7, p.1130, 1989.

S. J. Pearton, U. K. Chakrabarti, A. Katz, A. P. Perley, W. S. Hobson et al., Comparison of CH4/H2/Ar reactive ion etching and electron cyclotron resonance plasma etching of In-based III-V alloys, J. Vac. Sci. Technol. B, issue.9, p.1421, 1991.

J. E. Schramm, D. I. Babi?, E. L. Hu, J. E. Bowers, and J. L. , Merz « Fabrication of high-aspect-ratio InP-based vertical-cavity laser mirrors using CH 4 /H 2 /O 2 /Ar reactive ion etching, J. Vac. Sci. Technol. B, issue.15, p.2031, 1997.

Y. Feurprier, . Ch, B. Cardinaud, and G. Grolleau, Turban « Proposal for an etching mechanism of InP in CH 4-H 2 mixtures based on plasma diagnostics and surface analysis, J. Vac. Sci. Technol. A, issue.16, p.1552, 1998.

Y. Jiao, T. De-vries, R. Unger, L. Shen, H. Ambrosius et al., Vertical and Smooth Single-Step Reactive Ion Etching Process for InP Membrane Waveguides, Journal of The Electrochemical Society, vol.162, issue.8, pp.90-95, 2015.

A. Wieczorek, V. Djara, F. H. Peters, J. O'callaghan, K. Thomas et al., Inductively coupled plasma deep etching of InP/InGaAsP in Cl2/CH4/H2 based chemistries with the electrode at 20°C, J. Vac. Sci. Technol. B, vol.30, p.51208, 2012.

V. M. Donnelly, D. L. Flamm, and D. E. , Ibbotson « Plasma etching of IIIV compound semiconductors, Journal of Vacuum Science & Technology A, vol.1, p.626, 1983.

I. Bello, W. H. Chang, and W. , Lau « Mechanism of cleaning and etching Si surfaces with low energy chlorine ion bombardment, Journal of Applied Physics, vol.75, p.3092, 1994.

J. Matsuo, K. Karahashi, A. Sato, S. Hijiya, and «. , Study on Chlorine Adsorbed Silicon Surface Using Soft-X-Ray Photoemission Spectroscopy, Japanese Journal of Applied Physics, vol.31, 1992.

T. Kitagawa, K. Nakamura, K. Osari, K. Takahashi, K. Ono et al., Etching of High-k Dielectric HfO 2 Films in BCl 3-Containing Plasmas Enhanced with O 2 Addition, Japanese Journal of Applied Physics, vol.45, issue.10, p.297, 2006.

C. C. Cheng, K. V. Guinn, and V. M. Donnelly, Mechanism for anisotropic etching of photoresistmasked, polycrystalline silicon in HBr Plasmas, J. Vac. Sci. Technol. B, issue.14, p.85, 1996.

B. H. Freeland, J. J. Habeeb, and D. G. , Tuck « Coordination compounds of indium. Part XXXIII. X-Ray photoelectron spectroscopy of neutral and anionic indium halide species, CAN. J. CHEM, vol.55, p.1977

T. Maeda, J. W. Lee, R. J. Shul, J. Han, J. Hong et al., Inductively coupled plasma etching of III-V semiconductors in BCl3-based chemistries. I. GaAs, GaN, GaP, GaSb and AlGaAs, pp.174-182, 1999.

B. Brennan and G. Hughes, Identification and thermal stability of the native oxides on InGaAs using synchrotron radiation based photoemission, Journal of Applied Physics, vol.108, p.53516, 2010.

R. Chanson, S. Bouchoule, C. Cardinaud, C. Petit-etienne, E. Cambril et al., Blanquet « X-ray photoelectron spectroscopy analysis of the effect of temperature upon surface composition of InP etched in Cl 2-based inductively coupled plasma, J. Vac. Sci. Technol. B, vol.32, p.11219, 2014.

S. Vicknesh, A. Ramam, and «. , Etching Characteristics of HBr-Based Chemistry on InP Using the ICP Technique, Journal of The Electrochemical Society, vol.151, issue.12, pp.772-780, 2004.

G. S. Oehrlein, J. F. Rembetski, and E. H. Payne, Study of sidewall passivation and microscopic silicon roughness phenomena in chlorine-based reactive ion etching of silicon trenches, J. Vac. Sci. Technol. B, issue.8, p.1199, 1990.

H. Hübner and «. , Calculations on Deposition and Redeposition in Plasma Etch Processes, p.3302, 1992.

E. Sungauer, Etude et développement de procédés de gravure plasma de HfO 2 pour l'élaboration de transistors CMOS sub-45 nm » Laboratoire des Technologies de la Microélectronique, Ramos « Interactions entre les plasmas de gravure à couplage inductif et les parois du réacteur » Laboratoire des Technologies de la Microélectronique, 2008.

J. Z. Li, I. Adesida, and E. D. , Wolf « Orientation dependent reactive ion etching of GaAs in SiCl4, Applied Physics Letters, vol.45, 1984.

J. Z. Li, I. Adesida, and E. D. , Wolf « Evidence of crystallographic etching in (100)GaAs using SiCl4 reactive ion etching, J. Vac. Sci. Technol. B, vol.3, p.406, 1985.

D. E. Ibbotson, D. L. Flamm, and V. M. Donnelly, Crystallographic etching of GaAs with bromine and chlorine plasmas, Journal of Applied Physics, vol.54, p.5974, 1983.

F. Chouchane, B. Salem, G. Gay, M. Martin, E. Pargon et al., Sub-10 nm plasma nanopatterning of InGaAs with nearly vertical and smooth sidewalls for advanced n-fin field effect transistors on silicon, J. Vac. Sci. Technol. B, vol.35, p.21206, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01891230

U. Peralagu, X. Li, O. Ignatova, Y. C. Fu, D. A. Millar et al., Thayne « Towards a Vertical and Damage Free Post-Etch InGaAs Fin Profile: Dry Etch Processing, Sidewall Damage Assessment and Mitigation Options, ECS Transactions, issue.69, pp.15-36, 2015.

X. Zhao and J. A. , del Alamo « Nanometer-Scale Vertical-Sidewall Reactive Ion Etching of InGaAs for 3-D III-V MOSFETs, IEEE Electron Device Letters, vol.35, issue.5, 2014.

, Bibliographie du chapitre

O. Joubert, G. Cunge, B. Pelissier, L. Vallier, M. Kogelschatz et al., Monitoring chamber walls coating deposited during plasma processes: Application to silicon gate etch processes, J. Vac. Sci. Technol. A, issue.22, p.553, 2004.
DOI : 10.1116/1.1697484

URL : https://hal.archives-ouvertes.fr/hal-00390552

T. R. Hayes, U. K. Chakrabarti, F. A. Baiocchi, A. B. Emerson, H. S. Luftman et al., Dautremont-Smith « Damage to InP and InGaAsP surfaces resulting from CH4/H2 reactive ion etching, Journal of Applied Physics, issue.68, p.785, 1990.

D. L. Melville, J. G. Simmons, and D. A. , Thompson « Identification of volatile products in low pressure hydrocarbon electron cyclotron resonance reactive ion etching of InP and GaAs, J. Vac. Sci. Technol. B, issue.11, p.2038, 1993.

Y. Feurprier, . Ch, B. Cardinaud, and G. Grolleau, Turban « Etch product identification during CH4-H2 RIE of InP using mass spectrometry, Plasma Sources Sci. Technol, issue.6, pp.561-568, 1997.

B. H. Freeland, J. J. Habeeb, and D. G. , Tuck « Coordination compounds of indium. Part XXXIII. X-Ray photoelectron spectroscopy of neutral and anionic indium halide species, CAN. J. CHEM, vol.55, p.1977

B. Brennan and G. Hughes, Identification and thermal stability of the native oxides on InGaAs using synchrotron radiation based photoemission, Journal of Applied Physics, vol.108, p.53516, 2010.

I. Bello, W. H. Chang, and W. , Lau « Mechanism of cleaning and etching Si surfaces with low energy chlorine ion bombardment, Journal of Applied Physics, vol.75, p.3092, 1994.

J. Matsuo, K. Karahashi, A. Sato, S. Hijiya, and «. , Study on Chlorine Adsorbed Silicon Surface Using Soft-X-Ray Photoemission Spectroscopy, Jpn. J. Appl. Phys, vol.31, 1992.

J. G. Mclean, P. Kruse, J. Guo-ping, H. E. Ruda, and A. Kummel,

, « Clustering of Charged Adsorbates: Scanning Tunneling Microscopy Observations of Chlorine on Gallium-Rich GaAs

, J. Phys. Chem. A, issue.103, pp.10364-10368, 1999.

J. G. Mclean, P. Kruse, J. Guo-ping, H. E. Ruda, and A. Kummel, « Anomalous Mobility of Strongly Bound Surface Species: Cl on GaAs(001)-c(8 × 2), Phys. Rev. Lett, vol.85, pp.1488-1491, 2000.

S. M. Lee, S. H. Lee, and M. Scheffler,

, Adsorption and Diffusion of a Cl Atom on the GaAs(001)-c(8 × 2)-? » Surface, Phys. Rev. B, issue.69, pp.125317-125318, 2004.

A. V. Bakulin, S. V. Eremeev, O. E. Tereshchenko, E. V. Chulkov, and S. E. Kulkova, « Adsorption of Halogen Atom (F, Cl, I) on Cation-Rich GaAs(001) » Surface, IOP Conf. Series: Mater. Sci. Eng, issue.23, 2011.

A. V. Bakulin, S. V. Eremeev, O. E. Tereshchenko, and S. E. Kulkova, Chlorine Adsorption on the InAs(001) » Surface. Semiconductors (45), vol.21, p.29, 2011.

A. V. Bakulin, S. E. Kulkova, S. V. Eremeev, and O. E. , Tereshchenko « Early Stages of Halogen Adsorption on Cation-Rich InAs(001): Surface Etching Mechanism, J. Phys. Chem. C, issue.118, pp.10097-10105, 2014.

C. L. French, W. S. Balch, and J. , Foord « Investigations of the thermal reactions of chlorine on the GaAs(100) surface, J. Phys.: Condens. Matter, issue.3, pp.351-355, 1991.

E. Papirer, R. Lacroix, J. Donnet, and G. , Nansé and P. Fioux « XPS study of the halogenation of carbon black-Part 2, Chlorination » Carbon, vol.33, issue.I, pp.63-72, 1995.

R. H. Burton, G. Smolinsky, and «. , CCl 4 and Cl 2 Plasma Etching of III-V Semiconductors and the Role of Added O2, J. Electrochem. Soc, vol.129, pp.1599-1604, 1982.

R. H. Burton, H. Temkin, and V. G. , Keramidas « Plasma separation of InGaAsP/InP light-emitting diodes, Appl. Phys. Lett, vol.37, p.411, 1980.

S. Semura, H. Saitoh, and K. Asakawa,

A. Wieczorek, V. Djara, F. H. Peters, J. O'callaghan, K. Thomas et al., Inductively coupled plasma deep etching of InP/InGaAsP in Cl2/CH4/H2 based chemistries with the electrode at 20°C, J. Vac. Sci. Technol. B, vol.30, p.51208, 2012.

L. E. Kline, W. D. Partlow, and W. E. , Bies « Electron and chemical kinetics in methane rf glow-discharge deposition plasmas, Journal of Applied Physics, issue.65, p.70, 1989.

N. Yamamoto, « Dependence of selectivity on plasma conditions in selective etching in submicrometer pitch grating on InP surface by CH4/H2 reactive ion etching, Journal of Applied Physics, issue.109, p.73516, 2011.

C. Constantine, C. Barratt, S. J. Pearton, F. Ren, and J. R. , Lothian « Smooth, low-bias plasma etching of InP in microwave Cl2/CH4/H2 mixtures, Journal of Applied Physics, issue.61, p.2899, 1992.

G. E. Mcguire, G. K. Schweitzer, and T. A. Carlson, Study of Core Electron Binding Energies in Some Group IIIa, Vb, and VIb Compounds, vol.12, 1973.

A. Hess, E. Kemnitz, A. Lippitz, W. E. Unger, D. H. Menz et al., IR characterization of aluminum oxide, hydroxyfluoride, and fluoride surfaces in correlation with their catalytic activity in heterogeneous halogen exchange reactions, Journal of catalysis, issue.148, pp.270-280, 1994.

D. E. King, J. E. Fernandez, and W. E. , Swartz « An XPS study of the doping of trans, trans-p-distyrylbenzene with AsF 5 : a model conducting polymer system, Applied Surface Science, issue.45, pp.325-339, 1990.

G. S. Oehrlein, J. F. Rembetski, and E. H. Payne, Study of sidewall passivation and microscopic silicon roughness phenomena in chlorinebased reactive ion etching of silicon trenches, J. Vac. Sci. Technol. B, issue.8, p.1199, 1990.

X. Detter, R. Palla, I. Thomas-boutherin, E. Pargon, G. Cunge et al., Impact of chemistry on profile control of resist masked silicon gates etched in high density halogenbased plasmas, J. Vac. Sci. Technol. B, issue.21, p.2174, 2003.
URL : https://hal.archives-ouvertes.fr/hal-00477204

H. Hübner and «. , Calculations on Deposition and Redeposition in Plasma Etch Processes, p.3302, 1992.

, Bibliographie du chapitre

G. C. Desalvo, C. A. Bozada, J. Ebel, D. C. Look, J. P. Barrette et al., David Via « Wet Chemical Digital Etching of GaAs at Room Temperature, J. Electrochem. Soc, vol.143, pp.3652-3656, 1996.

C. S. Seibert, J. Li, W. Yuan, and D. C. Hall,

R. J. Hussey, G. I. Sproule, J. P. Mccaffrey, and M. J. Graham, Characterization of oxides formed on InP, InGaAs, InAlAs and InGaAs/InAlAs heterostructures at 300500°C, vol.57, 2002.

B. Schwartz and «. , GaAs surface chemistry-a review, C R C Critical Reviews in Solid State Sciences, vol.5, issue.4, pp.609-624, 1975.

K. , « Thermal oxidation of gallium arsenide » Czech, J. Phys. B, vol.18, 1968.

D. H. Laughlin and C. W. Wilmsen, Thermal oxidation of InAs, vol.70, pp.325-332, 1980.

C. W. , Wilsem « Chemical composition and formation of thermal and anodic oxide/III-V compound semiconductor interfaces, J. Vac. Sci. Technol, vol.19, issue.3, 1981.

C. L. Hinkle, E. M. Vogel, P. D. Ye, and R. M. Wallace, Interfacial chemistry of oxides on InGaAs and implications for MOSFET applications » Solid State and Materials Science, pp.188-207, 2011.
DOI : 10.1016/j.cossms.2011.04.005

D. H. Van-dorp, D. Weinberger, S. Van-wonterghem, S. Arnauts, K. Strubbe et al., Nanoscale etching : dissolution of III-As and Ge in HCl/H 2 O 2 solutions, ECS Transactions, vol.69, issue.8, pp.235-242, 2015.

R. C. , Weast « Handbook of chemistry and physics » Chemical Rubber Co, 1970.

D. H. Van-dorp, S. Arnauts, D. Cuypers, J. Rip, F. Holsteyns et al., Nanoscale etching of InGaAs in H 2 O 2 /HCl solutions for advanced CMOS processing», ECS Journal of Solid State Science and Technology, vol.3, issue.6, pp.179-184, 2014.

L. , Maissel and R. Glang « Handbook of thin film technology, 1970.

O. Kubaschewski and B. E. , Hopkins « Oxidation of Metals and Alloys, 1962.

M. Rebaud, M. C. Roure, V. Loup, . Ph, E. Rodriguez et al., Besson « Chemical treatments for native oxyde removal of GaAs wafers, ECS Transactions, vol.69, issue.8, pp.243-250, 2015.

F. L. Lie, W. Rachmady, and A. J. , Muscat « In 0.53 Ga 0.47 As(100) native oxide removal by liquid and gas phase HF/H 2 O chemistries, Microelectronic Engineering, issue.87, pp.1656-1660, 2010.

G. M. Ingo, N. Zacchetti, D. Della-sala, C. Coluzza, and «. , Xray photoelectron spectroscopy investigation on the chemical structure of amorphous silicon nitride (aSiN x ), J. Vac. Sci. Technol. A, issue.7, p.3048, 1989.

N. Posseme, O. Pollet, and S. Barnola,

, Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium, » Applied Physics Letters, vol.105, issue.5, p.51605, 2014.

N. Posseme, V. Ah-leung, O. Pollet, C. Arvet, and M. Garcia-barros, Thin layer etching of silicon nitride: A comprehensive study of selective removal using NH3/NF3 remote plasma, J. Vac. Sci. Technol A, vol.34, p.61301, 2016.

J. Dubois and «. , Nouvelle technologie utilisant les plasmas H2 et He pour contrôler la gravure de couches ultraminces à l'échelle nanométrique, 2016.

M. Rebaud, M. C. Roure, V. Loup, . Ph, E. Rodriguez et al., Besson « Chemical treatments for native oxyde removal of GaAs wafers, ECS Transactions, vol.69, issue.8, pp.243-250, 2015.

F. L. Lie, W. Rachmady, and A. J. , Muscat « In 0.53 Ga 0.47 As(100) native oxide removal by liquid and gas phase HF/H 2 O chemistries, Microelectronic Engineering, issue.87, pp.1656-1660, 2010.

V. Martyrosyan, « Modification de matériaux Si et Si 3 N 4 en couches minces par plasmas H2 ou He : Simulations atomistiques pour procédés de gravure innovants, 2017.

C. C. Griffioen, J. H. Evans, P. C. De-jong, and A. , Van Veen « Helium Desorption/Permeation From Bubbles In Silicon: A Novel Method Of Void Production, Physics Research, vol.27, pp.417-420, 1987.