.. .. Experimental-setup,

.. .. Bus-model,

. .. Experiments,

.. .. Didactic-example,

. Rosace, Flight Management System)

. .. Conclusion,

B. I-b-l-i-o-g-r-a-p-h-y, , p.38

B. Akesson, K. Goossens, and M. Ringhofer, Predator: A Predictable SDRAM Memory Controller, Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis. CODES+ISSS '07, p.32, 2007.

M. Alt, C. Ferdin, F. Martin, and R. Wilhelm, Cache Behavior Prediction by Abstract Interpretation, Science of Computer Programming, p.67, 1996.

S. Altmeyer, R. I. Davis, and C. Maiza, Improved cache related pre-emption delay aware response time analysis for fixed priority pre-emptive systems, In: Real-Time Systems, vol.48, p.88, 2012.

S. Altmeyer, Analysis of preemptively scheduled hard real-time systems, 2013.

S. Altmeyer, R. I. Davis, L. Indrusiak, C. Maiza, V. Nelis et al., A Generic and Compositional Framework for Multicore Response Time Analysis, Proceedings of the 23rd International Conference on Real Time and Networks Systems (RTNS), pp.129-138, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01231700

, ARM7TDMI r4p1 Technical Reference Manual. ARM Limited, p.19, 2004.

, Cortex-R4 and Cortex-R4F Technical Reference Manual. ARM Limited, p.19, 2011.

P. Axer, Building Timing Predictable Embedded Systems, In: ACM Trans. Embed. Comput. Syst, vol.13, p.19, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01095461

C. Ballabriga, H. Cassé, C. Rochange, and P. Sainrat, OTAWA: An Open Toolbox for Adaptive WCET Analysis, SEUS 2010, vol.47, p.67, 2010.
URL : https://hal.archives-ouvertes.fr/hal-01055378

T. Ballenthin, B. Dreyer, C. Hochberger, and S. Wegener, Hardware Support for Histogram-Based Performance Analysis of Embedded Systems, IEEE 20th International Symposium on Real-Time Distributed Computing (ISORC), p.40, 2017.

C. Barrett, L. Christopher, M. Conway, L. Deters, D. Hadarean et al., Cvc4." In: International Conference on Computer Aided Verification, p.50, 2011.

M. Becker, D. Dasari, B. Nicolic, B. Åkesson, V. Nélis et al., Contention-Free Execution of Automotive Applications on a Clustered Many-Core Platform, 2016 28th Euromicro Conference on Real-Time Systems (ECRTS), pp.14-24, 2016.

G. Behrmann, A. David, and K. G. Larsen, A Tutorial on Uppaal, Formal Methods for the Design of Real-Time Systems: 4th International School on Formal Methods for the Design of Computer, Communication, and Software Systems, SFM-RT 2004, vol.3185, p.35, 2004.

A. Benveniste, P. L. Guernic, and C. Jacquemot, Synchronous programming with events and relations: the SIGNAL language and its semantics, In: Science of Computer Programming, vol.16, p.14, 1991.

G. Bernat, A. Colin, and S. M. Petters, WCET analysis of probabilistic hard real-time systems, 23rd IEEE Real-Time Systems Symposium, p.10, 2002.

G. Berry, Next Generation Design and Verification Methodologies for Distributed Embedded Control Systems: Proceedings of the GM R&D Workshop, 2007. Chap. SCADE: Synchronous Design and Validation of Embedded Control Software, p.14

A. Biere, A. Cimatti, E. M. Clarke, O. Strichman, and Y. Zhu, Bounded model checking, In: Advances in computers, vol.58, p.49, 2003.

A. Biere, J. Knoop, L. Kovács, and J. Zwirchmayr, The Auspicious Couple: Symbolic Execution and WCET Analysis, In: WCET, vol.30, p.67, 2013.

R. Bourgade, C. Rochange, and P. Sainrat, Predictable bus arbitration schemes for heterogeneous time-critical workloads running on multicore processors, p.32, 2011.
DOI : 10.1109/etfa.2011.6059179

R. Bourgade, C. Rochange, and P. Sainrat, Predictable Twolevel Bus Arbitration for Heterogeneous Task Sets, Proceedings of the 26th International Conference on Architecture of Computing Systems. ARCS'13, p.32, 2013.
DOI : 10.1007/978-3-642-36424-2_29

M. Boyer, J. Migge, and M. Fumey, PEGASE-A Robust and Efficient Tool for Worst-Case Network Traversal Time Evaluation on AFDX, p.130, 2011.
DOI : 10.4271/2011-01-2711

R. Bruttomesso, A. Cimatti, A. Franzén, A. Griggio, and R. Sebastiani, The mathsat 4 smt solver, International Conference on Computer Aided Verification, p.50, 2008.
DOI : 10.1007/978-3-540-70545-1_28

A. Burns and A. Wellings, Analysable Real-time Systems: Programmed in Ada, p.13, 2016.
DOI : 10.1145/181492.181495

G. C. Buttazzo, Hard Real-time Computing Systems: Predictable Scheduling Algorithms And Applications (Real-Time Systems Series), p.12, 2004.

C. , , vol.123

T. Carle, D. Potop-butucaru, Y. Sorel, and D. Lesens, From Dataflow Specification to Multiprocessor Partitioned Time-triggered Real-time Implementation
URL : https://hal.archives-ouvertes.fr/hal-00742908

S. Chattopadhyay, A. Roychoudhury, and T. Mitra, Modeling Shared Cache and Bus in Multi-cores for Timing Analysis, Proceedings of the 13th International Workshop on Software and Compilers for Embedded Systems. SCOPES '10, vol.6, p.35, 2010.

J. Choi, D. Kang, and S. Ha, Conservative modeling of shared resource contention for dependent tasks in partitioned multi-core systems, pp.181-186

. Chronos, , p.39

G. Chrysos, Intel® xeon phi coprocessor (codename knights corner), p.17, 2012.

P. Cousot and R. Cousot, Abstract Interpretation: A Unified Lattice Model for Static Analysis of Programs by Construction or Approximation of Fixpoints, Proceedings of the 4th ACM SIGACT-SIGPLAN Symposium on Principles of Programming Languages. POPL '77, p.10, 1977.

L. Cucu-grosjean, L. Santinelli, M. Houston, C. Lo, T. Vardanega et al., Measurement-Based Probabilistic Timing Analysis for Multi-path Programs, 2012 24th Euromicro Conference on Real-Time Systems, p.39, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00765987

C. Cullmann, C. Ferdinand, G. Gebhard, D. Grund-;-benoît, R. Triquet et al., Predictability Considerations in the Design of Multi-Core Embedded Systems, Embedded Real Time Software and Systems (ERTSS), 2010.

L. Dagum and R. Menon, OpenMP: an industry standard API for shared-memory programming, IEEE computational science and engineering, vol.5, p.131, 1998.

A. Dahan, D. Geist, L. Gluhovsky, D. Pidan, G. Shapir et al., Combining System Level Modeling with Assertion Based Verification, In: 6th International Symposium on Quality of Electronic Design, p.10, 2005.

D. Dasari, V. Nelis, and B. Akesson, A framework for memory contention analysis in multi-core platforms, In: Real-Time Systems, vol.35, pp.38-40, 2015.
DOI : 10.1007/s11241-015-9229-9

R. and C. David, The SMT-LIBv2 Language and Tools: A Tutorial, p.51, 2013.

M. Davis and H. Putnam, A Computing Procedure for Quantification Theory, In: J. ACM, vol.7, issue.3, p.51, 1960.
DOI : 10.1007/978-3-642-81952-0_9

R. I. Davis, S. Altmeyer, and J. Reineke, Analysis of Write-back Caches under Fixed-priority Preemptive and Non-preemptive Scheduling, p.100, 2016.
DOI : 10.1145/2997465.2997476

R. I. Davis, S. Altmeyer, L. S. Indrusiak, and C. Maiza, An extensible framework for multicore response time analysis, Real-Time Systems, 2017.
DOI : 10.1007/s11241-017-9285-4

URL : https://link.springer.com/content/pdf/10.1007%2Fs11241-017-9285-4.pdf

L. De-moura and N. Bjørner, In: Proceedings of the Theory and Practice of Software, 14th International Conference on Tools and Algorithms for the Construction and Analysis of Systems. TACAS'08/ETAPS'08, p.50, 2008.

P. Roberet, D. L. Dick, W. Rhodes, and . Wolf, TGFF: task graphs for free, CODES/CASHE '98) Proceedings of the Sixth International Workshop on, p.119, 1998.

B. Dupont-de-dinechin and A. Graillat, Network-on-chip service guarantees on the kalray MPPA-256 bostan processor, Proceedings of the 2nd International Workshop on Advanced Interconnect Solutions and Technologies for Emerging Computing Systems, p.130, 2017.

B. Dupont-de-dinechin, Y. Durand, D. Van-amstel, and A. Ghiti, Guaranteed Services of the NoC of a Manycore Processor, p.103, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01102657

B. Dupont-de-dinechin, D. Van-amstel, M. Poulhiès, and G. Lager, Time-critical Computing on a Single-chip Massively Parallel Processor, vol.97, pp.1-97, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01090449

B. Dutertre and L. D. Moura, The yices smt solver, vol.2, p.50, 2006.

E. , A. Emerson, and E. M. Clarke, Characterizing correctness properties of parallel programs using fixpoints, Automata, Languages and Programming: Seventh Colloquium, p.10, 1980.
DOI : 10.1007/3-540-10003-2_69

P. Erdös and A. Rényi, On random graphs, I, In: Publicationes Mathematicae (Debrecen), vol.6, p.119, 1959.

C. Ferdinand, F. Martin, R. Wilhelm, and M. Alt, Cache behavior prediction by abstract interpretation, In: Science of Computer Programming, vol.35, p.23, 1999.
DOI : 10.1016/s0167-6423(99)00010-6

URL : https://doi.org/10.1016/s0167-6423(99)00010-6

E. Fleury and P. Fraigniaud, A general theory for deadlock avoidance in wormhole-routed networks, IEEE Transactions on Parallel and Distributed Systems, vol.9, p.31, 1998.
DOI : 10.1109/71.707539

URL : https://hal.archives-ouvertes.fr/inria-00098494

H. Foster, Applied Assertion-Based Verification: An Industry Perspective, In: Found. Trends Electron. Des. Autom. 3, vol.1, p.10, 2009.
DOI : 10.1561/1000000013

M. Garey, R. Graham, D. Johnson, and A. Yao, Resource constrained scheduling as generalized bin packing, In: Journal of Combinatorial Theory, Series A, vol.21, issue.3, p.33, 1976.
DOI : 10.1016/0097-3165(76)90001-7

URL : https://doi.org/10.1016/0097-3165(76)90001-7

G. Giannopoulou, N. Stoimenov, P. Huang, and L. Thiele, Mapping Mixed-criticality Applications on Multi-core Architectures, Proceedings of the Conference on Design, Automation & Test in Europe. DATE '14, vol.98, p.17, 2014.
DOI : 10.7873/date2014.111

G. Giannopoulou, N. Stoimenov, P. Huang, L. Thiele, and B. Dupont-de-dinechin, Mixed-criticality scheduling on cluster-based manycores with shared communication and storage resources, In: Real-Time Systems, vol.52, pp.36-38, 2016.
DOI : 10.1007/s11241-015-9227-y

G. Giannopoulou, P. Huang, R. Ahmed, D. B. Bartolini, and L. Thiele, Isolation Scheduling on Multicores: Model and Scheduling Approaches, In: Real-Time Systems, vol.53, issue.4, p.33, 2017.
DOI : 10.1007/s11241-017-9277-4

A. Graillat, M. Moy, P. Raymond, and B. Dupont-de-dinechin, Parallel Code Generation of Synchronous Programs for a Manycore Architecture
URL : https://hal.archives-ouvertes.fr/hal-01667594

J. Gustafsson, A. Ermedahl, C. Sandberg, and B. Lisper, Automatic Derivation of Loop Bounds and Infeasible Paths for WCET Analysis Using Abstract Execution, 27th IEEE International Real-Time Systems Symposium (RTSS'06), p.46, 2006.
DOI : 10.1109/rtss.2006.12

URL : http://www.mrtc.mdh.se/publications/1323.pdf

A. Gustavsson, A. Ermedahl, B. Lisper, and P. Pettersson, Towards WCET Analysis of Multicore Architectures Using UPPAAL, WCET 2010, vol.15, p.35, 2010.

S. Hahn, M. Jacobs, and J. Reineke, Enabling Compositionality for Multicore Timing Analysis, Proceedings of the 24th International Conference on Real Time and Networks Systems (RTNS), pp.299-308, 2016.
DOI : 10.1145/2997465.2997471

N. Halbwachs, P. Caspi, P. Raymond, and D. Pilaud, The synchronous data flow programming language LUSTRE, Proceedings of the IEEE, vol.79, pp.1305-1320, 1991.
DOI : 10.1109/5.97300

URL : http://www-verimag.imag.fr/~raymond/publis/lustre.ieee.ps.gz

D. Hardy, B. Rouxel, and I. Puaut, The Heptane Static Worst-Case Execution Time Estimation Tool, 17th International Workshop on Worst-Case Execution Time Analysis, vol.57, p.25, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01590444

H. Heinecke, K. Schnelle, H. Fennel, J. Bortolazzi, L. Lundh et al., Automotive open system architecture-an industry-wide initiative to manage the complexity of emerging automotive e/e-architectures, In: Convergence, p.131, 2004.

J. Henry, D. Monniaux, and M. Moy, PAGAI: A Path Sensitive Static Analyser, In: Electron. Notes Theor. Comput. Sci, vol.289, p.58, 2012.
DOI : 10.1016/j.entcs.2012.11.003

URL : https://doi.org/10.1016/j.entcs.2012.11.003

J. Henry, M. Asavoae, D. Monniaux, and C. Maïza, How to Compute Worst-case Execution Time by Optimization Modulo Theory and a Clever Encoding of Program Semantics, Proceedings of the 2014 SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems (LCTES), vol.58, pp.51-53, 2014.
DOI : 10.1145/2666357.2597817

URL : https://hal.archives-ouvertes.fr/hal-00998138

J. , M. Jézéquel, and B. Meyer, Design by contract: the lessons of Ariane, In: Computer, vol.30, p.9, 1997.

T. Kelter and P. Marwedel, Parallelism analysis: Precise WCET values for complex multi-core systems, In: Science of Computer Programming, p.133, 2017.
DOI : 10.1007/978-3-319-17581-2_10

, Formal Techniques for Safety-Critical Systems (FTSCS 2014), p.37

T. Kelter, T. Harde, P. Marwedel, and H. Falk, Evaluation of resource arbitration methods for multi-core real-time systems, In: 13th International Workshop on Worst-Case Execution Time Analysis, vol.30, p.46, 2013.

T. Kelter, H. Falk, P. Marwedel, S. Chattopadhyay, and A. Roychoudhury, Static Analysis of Multi-core TDMA Resource Arbitration Delays, vol.50, pp.185-229, 2014.

H. Kim, D. De-niz, B. Andersson, M. Klein, O. Mutlu et al., Bounding memory interference delay in COTSbased multi-core systems, Real-Time and Embedded Technology and Applications Symposium (RTAS), 2014 IEEE 20th, p.46, 2014.

J. Kim, H. Oh, J. Choi, H. Ha, and S. Ha, A novel analytical method for worst case response time estimation of distributed embedded systems, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC), p.38, 2013.

H. Kopetz, Real-Time Systems-Design Principles for Distributed Embedded Applications. Real-Time Systems Series, vol.8, 2011.

K. Lampka, S. Perathoner, and L. Thiele, Analytic Real-time Analysis and Timed Automata: A Hybrid Method for Analyzing Embedded Realtime Systems, Proceedings of the Seventh ACM International Conference on Embedded Software. EMSOFT '09, p.37, 2009.

K. Lampka, G. Giannopoulou, R. Pellizzoni, Z. Wu, and N. Stoimenov, A formal approach to the WCRT analysis of multicore systems with memory contention under phase-structured task sets, In: Real-Time Systems, vol.50, p.37, 2014.

H. Li, I. Puaut, and E. Rohou, Traceability of Flow Information: Reconciling Compiler Optimizations and WCET Estimation, Proceedings of the 22nd International Conference on Real-Time Networks and Systems, p.67, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01072138

S. Yau-tsun, S. Li, and . Malik, Performance Analysis of Embedded Software Using Implicit Path Enumeration, Proceedings of the 32nd Annual ACM/IEEE Design Automation Conference. DAC '95, p.24, 1995.

Z. Li, A. Malik, and Z. Salcic, Reducing Worst Case Reaction Time of Synchronous Programs on Chip-multiprocessors with ApplicationSpecific TDMA Scheduling, Proceedings of the 13th International Workshop on Java Technologies for Real-time and Embedded Systems. JTRES '15, vol.11, p.36, 2015.

T. Lundqvist and P. Stenström, Timing Anomalies in Dynamically Scheduled Microprocessors, Proceedings of the 20th IEEE Real-Time Systems Symposium. RTSS '99, p.12, 1999.

M. Lv, W. Yi, N. Guan, and G. Yu, Combining Abstract Interpretation with Model Checking for Timing Analysis of Multicore Software, Proceedings of the 2010 31st IEEE Real-Time Systems Symposium. RTSS '10, vol.63, p.35, 2010.

R. Mancuso, R. Pellizzoni, N. Tokcan, and M. Caccamo, WCET Derivation under Single Core Equivalence with Explicit Memory Budget Assignment, Leibniz International Proceedings in Informatics (LIPIcs, vol.76, p.37, 2017.

S. Martinez, D. Hardy, and I. Puaut, Quantifying WCET reduction of parallel applications by introducing slack time to limit resource contention, Proceedings of the 25rd International Conference on Real Time and Networks Systems (RTNS, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01590532

O. Matoussi and F. Pétrot, Modeling instruction cache and instruction buffer for performance estimation of VLIW architectures using native simulation, Design, Automation Test in Europe Conference Exhibition, p.130, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01570789

A. Melani, M. Bertogna, V. Bonifaci, A. Marchettispaccamela, and G. Buttazzo, Memory-Processor Co-Scheduling in Fixed Priority Systems, Proceedings of the 23rd International Conference on Real Time and Networks Systems (RTNS), pp.87-96, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01249107

D. Melpignano, L. Benini, E. Flamand, B. Jego, T. Lepley et al., Platform 2012, a Manycore Computing Accelerator for Embedded SoCs: Performance Evaluation of Visual Analytics Applications, Proceedings of the 49th Annual Design Automation Conference. DAC. 2012, p.17

J. Mouawad and ;. F. , A Orders Fix for Possible Power Loss in Boeing 787, The New York Times, 2015.

D. Viet-anh-nguyen, I. Hardy, and . Puaut, Scheduling of parallel applications on many-core architectures with caches: bridging the gap between WCET analysis and schedulability analysis, 9th Junior Researcher Workshop on Real-Time Computing, p.71, 2015.

D. Viet-anh-nguyen, I. Hardy, and . Puaut, Cache-Conscious Offline Real-Time Task Scheduling for Multi-Core Processors, Leibniz International Proceedings in Informatics (LIPIcs, vol.76, p.22, 2017.

J. Nowotsch, M. Paulitsch, D. Buhler, H. Theiling, S. Wegener et al., Multi-core Interference-Sensitive WCET Analysis Leveraging Runtime Resource Capacity Enforcement, p.11, 2014.

V. Nélis, P. Meumeu-yomsi, and L. Pinho, The PSOCRATES Timing Analysis Methodology for Parallel Real-Time Applications Deployed on Many-Core Platforms, 17th International Workshop on WorstCase Execution Time Analysis, vol.57, p.40, 2017.

D. Oehlert, A. Luppold, and H. Falk, Bus-Aware Static Instruction SPM Allocation for Multicore Hard Real-Time Systems, Leibniz International Proceedings in Informatics (LIPIcs, vol.76, p.36, 2017.

P. , , p.40

C. Pagetti, D. Saussie, R. Gratia, E. Noulard, and P. Siron, The ROSACE case study: From Simulink specification to multi/many-core execution, RTAS 2014, pp.309-318, 2014.

M. Panic, J. Abella, C. Hernandez, E. Quiñones, T. Ungerer et al., Enabling TDMA Arbitration in the Context of MBPTA, 2015 Euromicro Conference on Digital System Design, p.39, 2015.

M. Paolieri, E. Quinones, F. J. Cazorla, and M. Valero, An Analyzable Memory Controller for Hard Real-Time CMPs, IEEE Embedded Systems Letters, vol.1, issue.4, p.32, 2009.

M. Paolieri, J. Mische, S. Metzlaff, M. Gerdes, E. Quiñones et al., A Hard Real-time Capable Multi-core SMT Processor, In: ACM Trans. Embed. Comput. Syst, vol.12, issue.3, p.32, 2013.

R. Pellizzoni, E. Betti, S. Bak, G. Yao, J. Criswell et al., A Predictable Execution Model for COTS-Based Embedded Systems, 2011 17th IEEE Real-Time and Embedded Technology and Applications Symposium, pp.269-279, 2011.
DOI : 10.1109/rtas.2011.33

URL : http://pertsserver.cs.uiuc.edu/~mcaccamo/papers/PREM_rtas11.pdf

R. Pellizzoni, D. Bach, M. Bui, L. Caccamo, and . Sha, Coscheduling of CPU and I/O Transactions in COTS-Based Embedded Systems, Proceedings of the 2008 Real-Time Systems Symposium, p.22, 2008.

R. Pellizzoni, A. Schranzhofer, J. Chen, M. Caccamo, and L. Thiele, Worst Case Delay Analysis for Memory Interference in Multicore Systems, In: DATE. Dresden, p.38, 2010.
DOI : 10.1109/date.2010.5456952

URL : http://pertsserver.cs.uiuc.edu/~mcaccamo/papers/date10.pdf

Q. Perret, P. Maurère, É. Noulard, C. Pagetti, P. Sainrat et al., Mapping Hard Real-time Applications on Many-core Processors, Proceedings of the 24th International Conference on Real-Time Networks and Systems, vol.41, p.17, 2016.
DOI : 10.1145/2997465.2997496

URL : https://hal.archives-ouvertes.fr/hal-01692702

Q. Perret, P. Maurere, E. Noulard, C. Pagetti, P. Sainrat et al., Predictable composition of memory accesses on manycore processors, 8th European Congress on Embedded Real Time Software and Systems, vol.36, p.32, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01256000

. Quentin, P. Perret, E. Maurere, C. Noulard, P. Pagetti et al., Temporal Isolation of Hard Real-Time Applications on Many-Core Processors, 2016 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), p.32, 2016.

T. X. Linh, M. Phan, J. Xu, I. Lee, O. Lee et al., Overhead-aware compositional analysis of real-time systems, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS), p.103, 2013.

P. , , p.39

W. Puffitsch, E. Noulard, and C. Pagetti, Mapping a multi-rate synchronous language to a many-core processor, RTAS 2013, vol.33, p.17, 2013.

P. Radojkovi´cradojkovi´c, S. Girbal, A. Grasset, E. Quiñones, S. Yehia et al., On the evaluation of the impact of shared resources in multithreaded COTS processors in time-critical environments, In: ACM Trans. Archit. Code Optim, vol.8, issue.4, p.11, 2012.

P. Raymond, A general approach for expressing infeasibility in Implicit Path Enumeration Technique, 2014 International Conference on Embedded Software (EMSOFT), vol.46, p.25, 2014.

P. Raymond and C. Maiza, Catherine Parent-Vigouroux, Fabienne Carrier, and Mihail Asavoae, English. In: Real-Time Systems, vol.67, p.46, 2015.

J. Reineke, Caches in WCET Analysis: Predictability, Competitiveness, Sensitivity. epubli, vol.100, 2008.

J. Reineke, B. Wachter, S. Thesing, R. Wilhelm, I. Polian et al., A Definition and Classification of Timing Anomalies, p.46, 2006.

J. Reineke, I. Liu, H. D. Patel, S. Kim, and E. A. Lee, PRET DRAM Controller: Bank Privatization for Predictability and Temporal Isolation, Proceedings of the Seventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. CODES+ISSS '11, p.32, 2011.

H. Rihani, M. Moy, C. Maiza, and S. Altmeyer, WCET analysis in shared resources real-time systems with TDMA buses, RTNS 2015. 23rd International Conference on Real-Time Networks and Systems, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01243244

H. Rihani, M. Moy, C. Maiza, R. I. Davis, and S. Altmeyer, Response Time Analysis of Synchronous Data Flow Programs on a Many-Core Processor, Proceedings of the 24th International Conference on Real-Time Networks and Systems (RTNS), pp.67-76, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01406145

J. Rosèn, A. Andrei, P. Eles, and Z. Peng, Bus Access Optimization for Predictable Implementation of Real-Time Applications on Multiprocessor Systems-on-Chip, RTSS 2007, vol.41, pp.34-36, 2007.

S. Saidi, R. Ernst, S. Uhrig, H. Theiling, and B. Dupont-de-dinechin, The shift to multicores in real-time and safety-critical systems, 2015 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp.220-229, 2015.

A. Saifullah, D. Ferry, J. Li, K. Agrawal, C. Lu et al., Parallel real-time scheduling of DAGs, IEEE Transactions on Parallel and Distributed Systems, vol.25, p.113, 2014.

L. Santinelli, F. Guet, and J. Morio, Revising MeasurementBased Probabilistic Timing Analysis, 2017 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), p.39, 2017.
DOI : 10.1109/rtas.2017.16

S. Schliecker, M. Negrean, G. Nicolescu, P. Paulin, and R. Ernst, Reliable Performance Analysis of a Multicore Multithreaded Systemon-chip, Proceedings of the 6th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. CODES+ISSS '08, p.35, 2008.
DOI : 10.1145/1450135.1450172

M. Schoeberl, F. Brandner, S. Hepp, W. Puffitsch, and D. Prokesch, Patmos Reference Handbook, p.19, 2014.

M. Schoeberl, T-CREST: Time-predictable multi-core architecture for embedded systems, In: Journal of Systems Architecture, vol.61, pp.449-471, 2015.

A. Schranzhofer, J. Chen, and L. Thiele, Timing Analysis for TDMA Arbitration in Resource Sharing Systems, Proceedings of the 2010 16th IEEE Real-Time and Embedded Technology and Applications Symposium, vol.46, pp.215-224, 2010.

A. Schranzhofer, R. Pellizzoni, J. Chen, L. Thiele, and M. Caccamo, Worst-case Response Time Analysis of Resource Access Models in Multi-core Systems, Proceedings of the 47th Design Automation Conference. DAC '10, p.35, 2010.

S. Skalistis and A. Simalatsar, Worst-Case Execution Time Analysis for Many-Core Architectures with NoC, Proceedings of the 14th International Conference on Formal Modeling and Analysis of Timed Systems, p.96, 2016.

J. Souyris, E. L. Pavec, G. Himbert, G. Borios, V. Jégu et al., Computing the Worst Case Execution Time of an Avionics Program by Abstract Interpretation, 5th International Workshop on Worst-Case Execution Time Analysis (WCET'05), vol.1, p.22, 2007.

D. John-e-stone, G. Gohara, and . Shi, OpenCL: A parallel programming standard for heterogeneous computing systems, In: Computing in science & engineering, vol.12, p.131, 2010.

. Sweet, , p.38

P. Tendulkar, Mapping and Scheduling on Multi-core Processors using SMT Solvers. (placement et ordonnancement sur les processeurs multi-core en utilisant un solveur SMT), p.17, 2014.
URL : https://hal.archives-ouvertes.fr/tel-01087271

P. Tendulkar, P. Poplavko, I. Galanommatis, and O. Maler, Many-Core Scheduling of Data Parallel Applications Using SMT Solvers, 2014 17th Euromicro Conference on Digital System Design, p.41, 2014.

S. Thesing, J. Souyris, R. Heckmann, F. Randimbivololona, M. Langenbach et al., An abstract interpretation-based timing validation of hard real-time avionics software, 2003 International Conference on Dependable Systems and Networks, 2003. Proceedings, p.22, 2003.

, Tile Processor Architecture Overview for the TILE-Gx Series, Tilera Corporation, vol.30, p.20, 2012.

T. Tobita and H. Kasahara, A standard task graph set for fair evaluation of multiprocessor scheduling algorithms, In: Journal of Scheduling, vol.5, p.111, 2002.

V. Touzeau, C. Maïza, D. Monniaux, and J. Reineke, Ascertaining Uncertainty for Efficient Exact Cache Analysis, Computer Aided Verification-29th International Conference, p.23, 2017.
URL : https://hal.archives-ouvertes.fr/hal-01592048

P. K. Valsan, H. Yun, and F. Farshchi, Taming Non-blocking Caches to Improve Isolation in Multicore Real-Time Systems, p.11, 2016.

J. Walter and W. Nebel, Energy-Aware Mapping and Scheduling of Large-Scale Macro Data-Flow Applications, 1st International Workshop on Investigating Dataflow in Embedded Computing Architecture, vol.33, p.17, 2015.

S. Wegener, Towards Multicore WCET Analysis, 17th International Workshop on Worst-Case Execution Time Analysis, vol.57, p.31, 2017.

R. Wilhelm, The Worst-case Execution-time Problem-Overview of Methods and Survey of Tools, In: ACM Trans. Embed. Comput. Syst, vol.7, issue.3, pp.1-36, 2008.

K. Yang, M. Yang, and J. H. Anderson, Reducing Response-Time Bounds for DAG-Based Task Systems on Heterogeneous Multicore Platforms, Proceedings of the 24th International Conference on Real-Time Networks and Systems. RTNS '16, p.33, 2016.
DOI : 10.1145/2997465.2997486

URL : http://dl.acm.org/ft_gateway.cfm?id=2997486&type=pdf