.. .. Bidirectionnal-sockets, One to Many Many to Many " protocols, p.75

A. Diarra, OSI Layers in Automotive Networks URL: http://www.ieee802.org/ 1/files/public/docs2013/new-tsn-diarra-osi-layers-in-automotive-networks-0313-v01, 2013.

. Accellera, IEEE Draft Standard for Universal Verification Methodology Language Reference Manual, IEEE Standard, 1800.

. Accellera, IEEE Draft SystemC Analog/Mixed-Signal (AMS) extensions Language Reference Manual, IEEE P1666.1/D4, pp.1-233, 2015.

. Accellera, IEEE Standard for Standard SystemC Language Reference Manual, pp.1666-2011, 2012.

. Accellera, IEEE Standard for Standard SystemC(R) Analog/Mixed-Signal Extensions Language Reference Manual, IEEE Standard, vol.1666, pp.1-2016, 2016.

. Accellera, SystemC Configuration, Control & Inspection, Accellera, 2017.

M. Alassir, J. Denoulet, O. Romain, and P. Garda, A SystemC AMS model of an I2C bus controller, Proceedings of the International Conference on Design and Test of Integrated Systems in Nanoscale Technology (DTIS), pp.154-158, 2006.

A. Engines, Xilinx Announce New Zynq Architecture URL: http : / / www. analyticsengines.com/developer-blog/xilinx-announce-new-zynq-architecture, 2015.

I. A. Aref, N. A. Ahmed, F. Rodriguez-salazar, and K. Elgaid, RTL-level modeling of an 8B/10B encoder-decoder using SystemC, 2008 5th IFIP International Conference on Wireless and Optical Communications Networks (WOCN '08), pp.1-4, 2008.
DOI : 10.1109/WOCN.2008.4542493

A. Processors, URL: https://www.arm.com/products/processors, 2017.

A. Limited, Cycle Accurate Simulation Interface (CASI) Specification. ARM Manual, 2010.

S. Balaji and M. S. Murugaiyan, Waterfall vs. V-Model vs Agile: A comparative study on SDLC, International Journal of Information Technology and Business Management, 2012.

N. Bannow, K. Haug, and W. , Automatic SystemC design configuration for a faster evaluation of different partitioning alternatives, Proceedings of the Design Automation & Test in Europe Conference, pp.217-218, 2006.
DOI : 10.1109/DATE.2006.243904

A. Barreteau, G. Auvray, J. Bocquet, E. Bonjour, D. Krob et al., System-Level Modeling and Simulation with Intel® CoFluent? Studio URL: https://doi.org/10, Proceedings of the International Conference on Complex Systems Design & Management (CSD&M), pp.305-306978, 1007.

D. Becker, M. Moy, and J. Cornet, Challenges for the parallelization of loosely timed SystemC programs, 2015 International Symposium on Rapid System Prototyping (RSP), 2015.
DOI : 10.1109/RSP.2015.7416547

URL : https://hal.archives-ouvertes.fr/hal-01214891

D. Becker, M. Moy, and J. Cornet, Parallel Simulation of Loosely Timed SystemC/TLM Programs: Challenges Raised by an Industrial Case Study, Electronics, vol.7, issue.4, 2016.
DOI : 10.1016/j.micpro.2015.06.001

URL : https://hal.archives-ouvertes.fr/hal-01321055

I. Bennour, Petri nets framework for analyzing the communication behavior of TLM modules, 7th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, pp.1-4, 2012.
DOI : 10.1109/DTIS.2012.6232987

I. E. Bennour, SystemC TLM2-protocol consistency checker using Petri net, 2016 11th International Design & Test Symposium (IDT), pp.193-198, 2016.
DOI : 10.1109/IDT.2016.7843039

G. S. Beserra, S. H. Niaki, and I. Sander, Integrating virtual platforms into a heterogeneous MoC-based modeling framework, Proceedings of the Forum on Specification and Design Languages (FDL), pp.143-150, 2012.

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, Special Interest Group on Computer Architecture (SIGARCH) Computer Architecture News 39, pp.1-7, 2011.
DOI : 10.1145/2024716.2024718

E. Blokken, J. Vounckx, and M. Eyckmans, System Design Methodologies for System on Chip and Embedded Systems. https://www.design-reuse.com/articles/6850/system- design-methodologies-for-system-on-chip-and-embedded-systems

N. Bombieri, F. Fummi, and V. Guarnieri, Automatic synthesis of OSCI TLM-2.0 models into RTL bus-based IPs, 2010 IEEE International High Level Design Validation and Test Workshop (HLDVT), pp.105-112, 2010.
DOI : 10.1109/HLDVT.2010.5496652

N. Bombieri, F. Fummi, and V. Guarnieri, Model checking on TLM-2.0 IPs through automatic TLM-to-RTL synthesis, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip, pp.61-66, 2010.
DOI : 10.1109/VLSISOC.2010.5642620

N. Bombieri, F. Fummi, V. Guarnieri, and G. Pravadelli, Testbench Qualification of SystemC TLM Protocols through Mutation Analysis, IEEE Transactions on Computers, vol.63, issue.5, pp.1248-1261, 2014.
DOI : 10.1109/TC.2012.301

J. Borland, P. Dawkins, D. Johnson, and R. Williams, Embedded Systems Market (Embedded Hardware and Embedded Software) Market For Healthcare, Industrial, Automotive, Telecommunication, Consumer Electronics, Defense, Aerospace and Others Applications: Global Industry Perspective, Comprehensive Analysis and Forecast, Zion Market Research, pp.2015-2021, 2016.

F. Brandner, Precise simulation of interrupts using a rollback mechanism, Proceedings of th 12th International Workshop on Software and Compilers for Embedded Systems, SCOPES '09, pp.71-80, 2009.
DOI : 10.1145/1543820.1543833

D. Brier, R. Venkatasubramanian, S. Rangarajan, A. Arun, D. Thompson et al., Verification Methodology of Heterogeneous DSP+ARM Multicore Processors for Multi-core System on Chip, 2013 14th International Workshop on Microprocessor Test and Verification, pp.112-117, 2013.
DOI : 10.1109/MTV.2013.32

J. S. Brothers, Integrated circuit development, Radio and Electronic Engineer, vol.43, issue.1-2, pp.39-48, 1973.
DOI : 10.1049/ree.1973.0008

E. R. Brown and K. Baker, Integrated Circuits for Thermal Imaging Applications, Proceedings of the First European Solid State Circuits Conference (ESSCIRC), pp.48-49, 1975.

Y. Bu, Z. Tao, M. Lei, C. Wu, and C. Wu, A configurable SystemC virtual platform for early software development and its sub-system for hardware verification, Proceedings of the International Symposium on VLSI Design, Automation and Test, pp.29-32, 2010.

B. E. Buckingham, New Developments in Integrated Circuits for Television and Other Consumer Systems, Proceedings of the First European Solid State Circuits Conference (ESSCIRC), pp.26-27, 1975.

M. Caldari, M. Conti, M. Coppola, S. Curaba, L. Pieralisi et al., Transactionlevel models for AMBA bus architecture using SystemC 2, Proceedings of the Design, Automation, and Test in Europe (DATE, pp.26-31, 2003.

G. Castilhos, E. Wachter, G. Madalozzo, A. Erichsen, T. Monteiro et al., A framework for MPSoC generation and distributed applications evaluation, Fifteenth International Symposium on Quality Electronic Design, pp.408-411, 2014.
DOI : 10.1109/ISQED.2014.6783353

C. Wg, Issues for Configuration, 2009.

C. Wg, SystemC CCI Configuration Requirements Specification, 2009.

L. Charest and P. Marquet, Comparisons of different approaches of realizing IP block configuration in SystemC, Proceedings of the IEEE International New Circuits and Systems Conference (NEWCAS), pp.83-86, 2005.

Z. Chen, Y. Wang, L. Liao, Y. Zhang, A. Aytac et al., A SystemC Virtual Prototyping based methodology for multi-standard SoC functional verification, Proceedings of the ACM/EDAC/IEEE Design Automation Conference (DAC), pp.1-6, 2014.

S. Y. Chien, W. K. Chan, Y. H. Tseng, C. H. Lee, V. S. Somayazulu et al., Distributed computing in IoT: System-on-a-chip for smart cameras as an example, The 20th Asia and South Pacific Design Automation Conference
DOI : 10.1109/ASPDAC.2015.7058993

J. E. Coffland and A. D. Pimentel, A software framework for efficient system-level performance evaluation of embedded systems, Proceedings of the 2003 ACM symposium on Applied computing , SAC '03, pp.666-671, 2003.
DOI : 10.1145/952532.952663

N. Concer, L. Bononi, M. Soulie, R. Locatelli, and L. P. Carloni, CTC: An end-to-end flow control protocol for multi-core systems-on-chip, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp.193-202, 2009.
DOI : 10.1109/NOCS.2009.5071467

F. Conti, R. Schilling, P. D. Schiavone, A. Pullini, D. Rossi et al., An IoT Endpoint Systemon-Chip for Secure and Energy-Efficient Near-Sensor Analytics, IEEE Transactions on Circuits and Systems I: Regular Papers, pp.1-14, 2017.

. Coware, SystemC Modeling Library (SCML) CoWare Manual

C. David and . Black, SystemC from the ground up URL: https://fr.slideshare.net/tuanhuynh16906/ system-c-from-the-ground-up-david-c-black

D. Desmet, D. Verkest, and H. De-man, Operating system based software generation for systems-on-chip, Proceedings of the 37th conference on Design automation , DAC '00, pp.396-401, 2000.
DOI : 10.1145/337292.337509

D. V. Devi, P. K. Kondugari, G. Basavaraju, and S. L. Gangadharaiah, Efficient implementation of memory controllers and memories and virtual platform, Proceedings of the International Conference on Communication and Signal Processing (ICCSP), pp.1645-1648, 2014.

N. N. Dlamini and K. Johnston, The use, benefits and challenges of using the Internet of Things (IoT) in retail businesses: A literature review, 2016 International Conference on Advances in Computing and Communication Engineering (ICACCE), pp.430-436, 2016.
DOI : 10.1109/ICACCE.2016.8073787

R. Dömer, W. Chen, and X. Han, Parallel discrete event simulation of Transaction Level Models, 17th Asia and South Pacific Design Automation Conference, pp.227-231, 2012.
DOI : 10.1109/ASPDAC.2012.6164949

R. Dömer, A. Gerstlauer, P. Kritzinger, and M. Olivarez, The SpecC System-Level Design Language and Methodology, Proceedings of the Embedded Systems Conference (ESC), 2002.

W. Du, F. Mieyeville, and D. Navarro, Modeling Energy Consumption of Wireless Sensor Networks by SystemC, 2010 Fifth International Conference on Systems and Networks Communications, pp.94-98, 2010.
DOI : 10.1109/ICSNC.2010.20

M. Edwards and P. Green, UML for Hardware and Software Object Modeling, pp.127-1470, 2003.
DOI : 10.1007/0-306-48738-1_6

. Ericsson, 50 billion connections 2020 URL: https://www.ericsson.com/en/press- releases, 2010.

W. H. Evans, J. C. Ballegeer, and N. H. Duyet, ADL: An Algorithmic Design Language for Integrated Circuit Synthesis, 21st Design Automation Conference Proceedings, pp.66-72, 1984.
DOI : 10.1109/DAC.1984.1585774

T. M. Frederiksen, Limits of integrated circuits, 1970 IEEE Power Electronics Specialists Conference, pp.54-59, 1970.
DOI : 10.1109/PECS.1970.7066240

G. Delbergue, SystemC Async Patch URL: https://git.greensocs.com/systemc/systemc

G. Delbergue, M. Burton, F. Konrad, B. L. Gal, and C. Jego, QBox: an industrial solution for virtual platform simulation using QEMU and SystemC TLM-2.0, Proceedings of the European Congress Embedded Real Time Software And Systems (ERTS), 2016.
URL : https://hal.archives-ouvertes.fr/hal-01292317

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, A SystemC asynchronous wait mechanism enabling multi-threading and multi-simulator support, Proceedings of the SystemC Evolution Day, 2016.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Analysis of TLM-2.0 and it's Applicability to Non Memory Mapped Interfaces, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2016.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Analysis of TLM-2.0 and it's applicability to non memory mapped interfaces, Proceedings of the SystemC Evolution Day, 2016.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Beyond QBox: development of virtual platforms based on QEMU and SystemC TLM-2.0, Proceedings of the Forum on Specification and Design Languages (FDL), 2015.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Multi-threaded Virtual Platform Simulation: An open-source approach, using SystemC TLM-2.0, and QEMU, Proceedings of the Forum on Specification and Design Languages (FDL), 2015.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Open Source Heterogeneous AMP Virtual Platforms built using the SystemC and TLM standards, Proceedings of the Open Source Digital Design Conference (ORConf), 2016.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Plateformes virtuelles SystemC/TLM : configuration, communication et parallélisation, Proceedings of the Groupement De Recherche System On Chip et System-In-Package (GDR SoC-SiP), 2017.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, The missing SystemC and TLM asynchronous features enabling inter-simulation synchronization, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2016.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Virtual platform(s) simulation: an opensource , reusable, affordable and structured approach based on TLM/CCI, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2015.

G. Delbergue and T. Wieman, SystemC Configuration, A preview of the draft standard, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2016.

D. D. Gajski, System-level synthesis: From specification to transaction level models, 2009 International Conference on Communications, Circuits and Systems, pp.1134-1138, 2009.
DOI : 10.1109/ICCCAS.2009.5250317

. Geekbar and . Iphone, URL: https, 2016.

A. Gerstlauer, D. Shin, R. Domer, and D. D. Gajski, System-level communication modeling for network-on-chip synthesis, Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC), pp.45-48, 2005.

G. Glaser, G. Nitschey, and E. Hennig, Temporal decoupling with error-bounded predictive quantum control, Proceedings of the Forum on Specification and Design Languages (FDL), pp.1-6, 2015.

W. B. Glendinning, Silicon Integrated Circuits, IRE Transactions on Military Electronics, vol.4, issue.4, pp.459-468, 1960.
DOI : 10.1109/IRET-MIL.1960.5008274

R. Goldstein, S. Breslav, and A. Khan, A quantum of continuous simulated time, Proceedings of the Symposium on Theory of Modeling and Simulation (TMS-DEVS), pp.1-8, 2016.

. Greensocs and . Greenlib, URL: http://git.greensocs.com/greenlib/greenlib

P. E. Haggerty, C. L. Hogan, R. N. Noyce, L. C. Maier, J. E. Brown et al., Integrated circuits, IEEE Spectrum, vol.1, issue.6, pp.62-62, 1964.
DOI : 10.1109/MSPEC.1964.6500563

T. Hattori, SOC design challenges for embedded systems, Proceedings of the International Conference on ASIC (ASICON), pp.15-19, 2007.

C. Helmstetter, J. Cornet, B. Galilée, M. Moy, and P. Vivet, Fast and Accurate TLM Simulations Using Temporal Decoupling for FIFO-based Communications, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.1185-1188, 2013.
DOI : 10.7873/DATE.2013.246

URL : https://hal.archives-ouvertes.fr/hal-00807046

C. Helmstetter and V. Joloboff, SimSoC: A SystemC TLM integrated ISS for full system simulation, APCCAS 2008, 2008 IEEE Asia Pacific Conference on Circuits and Systems, pp.1759-1762, 2008.
DOI : 10.1109/APCCAS.2008.4746381

URL : https://hal.archives-ouvertes.fr/hal-00777158

R. Hocine, H. Kalla, S. Kalla, and C. Arar, A methodology for verification of embedded systems based on systemc, 2012 IEEE International Conference on Complex Systems (ICCS), pp.1-6, 2012.
DOI : 10.1109/ICoCS.2012.6458557

K. Huang, I. Bacivarov, F. Hugelshofer, and L. Thiele, Scalably distributed SystemC simulation for embedded applications, 2008 International Symposium on Industrial Embedded Systems, pp.271-274, 2008.
DOI : 10.1109/SIES.2008.4577715

S. Inohira, T. Shinmi, M. Nagata, and K. Iida, Statistical Modeling for Large Scale Integrated Circuit Design, Proceedings of the International Symposium on VLSI Technology, pp.76-77, 1982.

. Intel, Intel Stratix 10 URL: https://www.altera.com/products/fpga/stratix-series/stratix- 10/features

I. Iso, Programming languages: C++, 2003.

P. Jones, The making of an integrated circuit, Electronics and Power 21, pp.1179-1182, 1975.
DOI : 10.1049/ep.1975.1231

S. Kapur and C. Sriprasad, Software development tools for embedded systems, Proceedings of 14th Digital Avionics Systems Conference, pp.331-335, 1995.
DOI : 10.1109/DASC.1995.482917

R. S. Khaligh and M. Radetzki, A dynamic load balancing method for parallel simulation of accuracy adaptive TLMs, 2010 Forum on Specification & Design Languages (FDL 2010), pp.1-6, 2010.
DOI : 10.1049/ic.2010.0141

R. S. Khaligh and M. Radetzki, Modeling constructs and kernel for parallel simulation of accuracy adaptive TLMs, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.1183-1188, 2010.
DOI : 10.1109/DATE.2010.5456987

W. Klingauf, R. Gunzel, O. Bringmann, P. Parfuntseu, and M. Burton, GreenBus - a generic interconnect fabric for transaction level modelling, 2006 43rd ACM/IEEE Design Automation Conference, pp.905-910, 2006.
DOI : 10.1109/DAC.2006.229410

U. Ko, Ultra-low power SoC for wearable & IoT, 2016 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA), p.1, 2016.
DOI : 10.1109/VLSI-TSA.2016.7480477

V. Lapotre, Toward dynamically reconfigurable high throughput multiprocessor Turbo decoder in a multimode and multi-standard context " . Theses URL: https, 2013.
URL : https://hal.archives-ouvertes.fr/tel-01096975

J. Lee, Design methodology for on-chip bus architectures using system-on-chip network protocol, IET Circuits, Devices Systems 6, pp.85-94, 2012.
DOI : 10.1049/iet-cds.2011.0054

T. Lei, Y. Yanhui, and W. Shaojun, Optimizing SoC platform architecture for multimedia applications, Proceedings of the International Conference on ASIC (ASICON), pp.94-97, 2005.

R. Leupers, G. Martin, R. Plyaskin, A. Herkersdorf, F. Schirrmeister et al., Virtual platforms: Breaking new grounds, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.685-690, 2012.
DOI : 10.1109/DATE.2012.6176558

O. Levia, Level synthesis approach to application-specific integrated circuits (ASIC) design, Proceedings., Second Annual IEEE ASIC Seminar and Exhibit, pp.1-7, 1989.
DOI : 10.1109/ASIC.1989.123164

S. Liao, S. Tjiang, and R. Gupta, An Efficient Implementation of Reactivity for Modeling Hardware in the Scenic Design Environment, Proceedings of the Design Automation Conference (DAC), pp.70-75, 1997.

L. Systemcass, URL: https

L. Community, The Programming Language URL: https

T. M. Madzy, A Mathematical Model to Predict the Susceptibility of Integrated Circuits to Magnetic Fields, 1971 IEEE International Electromagnetic Compatibility Symposium Record, pp.1-6, 1971.
DOI : 10.1109/ISEMC.1971.7567933

E. Maler, J. Paoli, M. Sperberg-mcqueen, F. Yergeau, and T. Bray, Extensible Markup Language (XML) 1.0 (Fifth Edition). W3C Recommendation, p.3, 2008.

S. Mathur and S. Malik, Advancements in the V-Model, International Journal of Computer Applications, vol.1, issue.12, pp.29-34, 2010.
DOI : 10.5120/266-425

M. Moy, Modélisation TLM en SystemC URL: https, 2017.

D. Mccrory, Heterogeneous symmetric multi-processing system. US Patent 6,513,057 URL: https://www.google.com, 2003.

. M. Mediatek and X. Helio, URL: https : / / www . mediatek . com / products / smartphones/mediatek-helio-x30, 2017.

A. Mello, I. Maia, A. Greiner, and F. Pecheux, Parallel simulation of systemC TLM 2.0 compliant MPSoC on SMP workstations, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.606-609, 2010.
DOI : 10.1109/DATE.2010.5457136

URL : https://hal.archives-ouvertes.fr/hal-00748083

P. Menniti and B. Murari, An Integrated-Circuit Sound for Television Receivers, IEEE Transactions on Consumer Electronics CE-21, pp.74-84, 1975.
DOI : 10.1109/TCE.1975.266721

R. Meyer, J. Wagner, B. Farkas, S. Horsinka, P. Siegl et al., A Scriptable Standard-Compliant Reporting and Logging Framework for SystemC, ACM Transactions on Embedded Computing Systems, vol.16, issue.1, pp.6-28, 2016.
DOI : 10.1109/SAMOS.2015.7363700

. Microsemi, UART-to-SPI Interface -Application Note AC327, 2012.

J. L. Moll, Integrated Circuits and Microminiaturization, IRE Transactions on Education, vol.3, issue.4, pp.141-144, 1960.
DOI : 10.1109/TE.1960.4322156

M. Monton, J. Engblom, and M. Burton, Checkpointing for Virtual Platforms and SystemC-TLM, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.133-141, 2013.
DOI : 10.1109/TVLSI.2011.2181881

J. Moreira, F. Klein, A. Baldassin, P. Centoducatte, R. Azevedo et al., Using multiple abstraction levels to speedup an MPSoC virtual platform simulator, 2011 22nd IEEE International Symposium on Rapid System Prototyping, pp.99-105, 2011.
DOI : 10.1109/RSP.2011.5929982

M. A. El-moursy, A. Sheirah, M. Safar, and A. Salem, Efficient embedded SoC hardware/software codesign using virtual platform, 2014 9th International Design and Test Symposium (IDT), pp.36-38, 2014.
DOI : 10.1109/IDT.2014.7038583

I. Moussa, T. Grellier, and G. Nguyen, Exploring SW performance using SoC transactionlevel modeling, Proceedings of the Design, Automation, and Test in Europe (DATE)

M. Moy, Parallel Programming with SystemC for Loosely Timed Models: A Non-Intrusive Approach, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.9-14, 2013.
DOI : 10.7873/DATE.2013.017

URL : https://hal.archives-ouvertes.fr/hal-00761047

. A. Mr and . Shinde, System on Chip URL: https://www.slideshare.net, p.43988176, 2015.

F. Mueller, Pthreads library interface, Florida State University, 1993.

M. A. Murray-lasso, Black-Box Models for Linear Integrated Circuits, IEEE Transactions on Education, vol.12, issue.3, pp.170-180, 1969.
DOI : 10.1109/TE.1969.4320494

N. Family, ?. Arm®-cortex®-a53, . Cortex-a72, . Virtualization, . Vision et al., URL: https://www.nxp.com/products/microcontrollers-and-processors/armbased-processors-and-mcus/i.mx-applications-processors/i.mx-8-processors/i.mx-8- family-arm-cortex-a53-cortex-a72-virtualization-vision-3d-graphics-4k-video:i, 2016.

S. Ornes, Core Concept: The Internet of Things and the explosion of interconnectivity, Proceedings of the National Academy of Sciences, pp.40-11059, 2016.
DOI : 10.1109/SP.2016.44

O. Osci-tlm-2, 0 The Transaction Level Modeling standard of the Open SystemC Initiative (OSCI) URL: http://slideplayer.com/slide, 2009.

E. P. , P. Chandran, J. Chandra, B. P. Simon, and D. Ravi, Parallelizing SystemC Kernel for Fast Hardware Simulation on SMP Machines, Proceedings of the ACM Principles of Advanced and Distributed Simulation (PADS), pp.80-87, 2009.

E. Painkras, L. A. Plana, J. Garside, S. Temple, S. Davidson et al., SpiNNaker: A multi-core System-on-Chip for massively-parallel neural net simulation, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, pp.1-4, 2012.
DOI : 10.1109/CICC.2012.6330636

S. Pasricha, N. Dutt, and M. Ben-romdhane, Using TLM for Exploring Bus-based SoC Communication Architectures, 2005 IEEE International Conference on Application-Specific Systems, Architecture Processors (ASAP'05), pp.79-85, 2005.
DOI : 10.1109/ASAP.2005.65

J. Peeters, N. Ventroux, T. Sassolas, and L. Lacassagne, A systemc TLM framework for distributed simulation of complex systems with unpredictable communication, Proceedings of the 2011 Conference on Design & Architectures for Signal & Image Processing (DASIP), pp.1-8, 2011.
DOI : 10.1109/DASIP.2011.6136847

C. Perera, C. H. Liu, S. Jayawardena, and M. Chen, A Survey on Internet of Things From Industrial Market Perspective, IEEE Access, vol.2, pp.1660-1679, 2014.
DOI : 10.1109/ACCESS.2015.2389854

I. M. Pessoa, A. Mello, A. Greiner, and F. Pêcheux, Parallel TLM simulation of MPSoC on SMP workstations: Influence of communication locality, 2010 International Conference on Microelectronics, pp.359-362, 2010.
DOI : 10.1109/ICM.2010.5696160

URL : https://hal.archives-ouvertes.fr/hal-00748266

A. Pullini, F. Conti, D. Rossi, I. Loi, M. Gautschi et al., A Heterogeneous Multi-Core System-on-Chip for Energy Efficient Brain Inspired Computing, IEEE Transactions on Circuits and Systems II: Express Briefs, 2017.
DOI : 10.1109/TCSII.2017.2652982

M. Radetzki, SystemC TLM Transaction Modelling and Dispatch for Active Object In: Proceedings of the Forum on Specification and Design Languages (FDL), pp.203-209, 2006.

K. Rahmouni, S. Chabanet, N. Lambelin, and F. Pétrot, Design of a medium voltage protection device using system simulation approaches: a case study, International Journal of Embedded Systems, vol.5, issue.1/2, pp.53-66, 2013.
DOI : 10.1504/IJES.2013.052144

URL : https://hal.archives-ouvertes.fr/hal-01141001

R. Rajsuman, System-on-a-Chip: Design and Test. 1st, 2000.

R. Ranjith, J. Mathew, and J. K. Murthy, Host Testing of Drivers Using SystemC Model, International Journal of Electrical Electronics & Computer Science Engineering, 2016.

. Rapidjson and . Rapidjson, URL: http://rapidjson.org

D. Reed and R. Hoare, An SoC solution for massive parallel processing, Proceedings 16th International Parallel and Distributed Processing Symposium, p.8, 2002.
DOI : 10.1109/IPDPS.2002.1016664

P. Reichel and J. Doge, Hardware/software infrastructure for ASIC commissioning and rapid system prototyping, 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig14), pp.1-6, 2014.
DOI : 10.1109/ReConFig.2014.7032532

V. Reyes, TLM Technology for Off-Chip Interfaces on the Automotive domain, Proceedings of the European SystemC User's Group Events Workshop (ESCUG), 2012.

K. Richter, R. Racu, and R. Ernst, Scheduling analysis integration for heterogeneous multiprocessor SoC, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748)
DOI : 10.1109/REAL.2003.1253270

C. Roth, S. Reder, G. Erdogan, O. Sander, G. M. Almeida et al., Asynchronous parallel MPSoC simulation on the Single-Chip Cloud Computer, 2012 International Symposium on System on Chip (SoC), pp.1-8, 2012.
DOI : 10.1109/ISSoC.2012.6376364

E. S. Baindur and A. Patil, Dynamic Parameter Configuration of SystemC Models, Proceedings of the Design and Verification Conference and Exhibition India

S. S. Hamza, I. E. Bennour, and R. Tourki, TLM Design Framework of Generic NoC for Performance Exploration, Proceedings of the International Conference on Computer Science and Engineering, 2009.

A. Sangiovanni-vincentelli, System-level design: a strategic investment for the future of the electronic industry, 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test, 2005. (VLSI-TSA-DAT)., pp.1-5, 2005.
DOI : 10.1109/VDAT.2005.1500004

C. Sauer, H. M. Bluethgen, and H. P. Loeb, Distributed, loosely-synchronized System- C/TLM simulations of many-processor platforms, Proceedings of the Forum on Specification and Design Languages (FDL, pp.1-8, 2014.

C. Sauer and H. P. Loeb, A lightweight infrastructure for the dynamic creation and configuration of virtual platforms, 2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), pp.372-377, 2015.
DOI : 10.1109/SAMOS.2015.7363701

R. R. Schaller, Moore's law: past, present and future, IEEE Spectrum, vol.34, issue.6, pp.52-59, 1997.
DOI : 10.1109/6.591665

G. Schirner and R. Domer, Result-Oriented Modeling???A Novel Technique for Fast and Accurate TLM, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.9, pp.1688-1699, 2007.
DOI : 10.1109/TCAD.2007.895757

G. Schirner, R. Dömer, and F. J. Rammig, In: From Specification to Embedded Systems Application, ABSTRACT COMMUNICATION MODELING, pp.189-200, 2005.

H. G. Schirner, System Level Modeling of an AMBA Bus, 2005.

C. Schröder, W. Klingauf, R. Günzel, M. Burton, and E. Roesler, Configuration and control of SystemC models using TLM middleware, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09
DOI : 10.1145/1629435.1629447

S. Schulz, T. Vörtler, and M. Barnasconi, UVM goes Universal -Introducing UVM in SystemC, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2015.

C. Schumacher, R. Leupers, D. Petras, and A. Hoffmann, parSC, Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES/ISSS '10, pp.241-246, 2010.
DOI : 10.1145/1878961.1879005

T. Schuster, R. Meyer, R. Buchty, L. Fossati, and M. Berekovic, SoCRocket - A virtual platform for the European Space Agency's SoC development, 2014 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), pp.1-7, 2014.
DOI : 10.1109/ReCoSoC.2014.6860690

P. J. Schwarz, An Integrated Circuit for the Telephone Handset, Proceedings of the First European Solid State Circuits Conference (ESSCIRC), pp.91-92, 1975.

A. Sedrati and A. Mezrioui, Internet of Things challenges: A focus on security aspects, 2017 8th International Conference on Information and Communication Systems (ICICS)
DOI : 10.1109/IACS.2017.7921973

C. Shin and Y. Kim, Development of a virtual platform for IP and firmware verification, Proceedings of the International SoC Design Conference (ISOCC), pp.282-283, 2014.

L. Shuping and P. Ling, The Research of V Model in Testing Embedded Software, 2008 International Conference on Computer Science and Information Technology, pp.463-466, 2008.
DOI : 10.1109/ICCSIT.2008.51

P. Sjövall, J. Virtanen, J. Vanne, and T. D. Hämäläinen, High-Level Synthesis Design Flow for HEVC Intra Encoder on SoC-FPGA, 2015 Euromicro Conference on Digital System Design, pp.49-56, 2015.
DOI : 10.1109/DSD.2015.67

M. 1. Smart-arm-based and . Atmel, [174] I. Sobá nski and W. Sakowski Hardware/software co-design in USB 3.0 mass storage application, Proceedings of the International Conference on Signals and Electronic Circuits (ICSES, pp.343-346, 2010.

H. J. Stolberg, M. Berekovic, L. Friebe, S. Moch, S. Flugel et al., HiBRID-SoC: a multi-core system-on-chip architecture for multimedia signal processing applications, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.8-13, 2003.
DOI : 10.1109/DATE.2003.1253797

R. Swaminathan and V. Goel, TLM Signal: A non-memory mapped bus model, Proceedings of Indian SystemC User's Group (ISCUG), 2013.

S. Swan, A Tutorial Introduction to the SystemC TLM Standard

S. Swan, V. Motel, J. Cornet, and L. Maillet-contoz, Beyond TLM 2.0: New Virtual Platform Standards Proposals, Proceedings of the Design Automation Conference (DAC), 2012.

. Tieto, Virtual Platforms -Addressing challenges in telecom product development URL: https, 2014.

. Tutorialspoint, Operating System -Linux URL: https

J. Urdahl, S. Udupi, D. Stoffel, and W. Kunz, Formal system-on-chip verification: An operation-based methodology and its perspectives in low power design, 2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp.67-74, 2013.
DOI : 10.1109/PATMOS.2013.6662157

N. Ventroux, J. Peeters, T. Sassolas, and J. C. Hoe, Highly-parallel special-purpose multicore architecture for SystemC/TLM simulations, 2014 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV), pp.250-257, 2014.
DOI : 10.1109/SAMOS.2014.6893218

N. Ventroux and T. Sassolas, A New Parallel SystemC Kernel Leveraging Manycore Architectures, Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.487-492, 2016.
DOI : 10.3850/9783981537079_0325

S. Wallner, Design Methodology of a Configurable System-on-Chip Architecture, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, pp.283-284, 2004.
DOI : 10.1109/FCCM.2004.27

X. Wang, W. Shan, and H. Liu, Uniform SystemC Co-Simulation Methodology for Systemon-Chip Designs, Proceedings of the International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery (CyberC), pp.261-267, 2012.
DOI : 10.1109/cyberc.2012.51

Z. Wang, D. Zhang, X. Yu, Z. Yu, and X. Zeng, A fast multi-core virtual platform and its application on software development, Proceedings of the International Conference on ASIC (ASICON), pp.1-4, 2013.

R. Weber, An integrated hardware and software reuse environment for system development, Proceedings of the IEEE 1991 National Aerospace and Electronics Conference NAECON 1991, pp.990-996, 1991.
DOI : 10.1109/NAECON.1991.165877

J. H. Weinstock, R. Leupers, G. Ascheid, D. Petras, and A. Hoffmann, SystemC-Link: Parallel SystemC Simulation using Time-Decoupled Segments, Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.493-498, 2016.
DOI : 10.3850/9783981537079_0114

P. N. Whatmough, S. K. Lee, H. Lee, S. Rama, D. Brooks et al., 14.3 A 28nm SoC with a 1.2GHz 568nJ/prediction sparse deep-neural-network engine with >0.1 timing error rate tolerance for IoT applications, 2017 IEEE International Solid-State Circuits Conference (ISSCC), pp.242-243
DOI : 10.1109/ISSCC.2017.7870351

A. Wicaksana, C. M. Tang, and M. S. Ng, A scalable and configurable Multiprocessor System-on-Chip (MPSoC) virtual platform for hardware and software co-design and coverification, Proceedings of the International Conference on New Media (CONMEDIA)

. Wikichip and . Epyc-7401p-amd, URL: https://en.wikichip.org/wiki, p.7401, 2017.

. Wikipedia, INI File Format URL: https://en.wikipedia

T. Wikipedia and . Free, Design flow for a system-on-a-chip URL: https, 2007.

J. Wilson, Hardware/software selected cycle solution, Third International Workshop on Hardware/Software Codesign, pp.190-194, 1994.
DOI : 10.1109/HSC.1994.336707

]. L. Xilinx, W. Xu, S. He, and . Li, Zynq-7000 All Programmable SoC Overview Xilinx Datasheet Internet of Things in Industries: A Survey, IEEE Transactions on Industrial Informatics, vol.10, issue.4, pp.2233-2243, 2014.

C. C. Yang, N. H. Chang, S. L. Chen, W. D. Chien, C. S. Chen et al., A novel methodology for Multi-Project System-on-a-Chip, 2011 IEEE International SOC Conference, pp.308-311, 2011.
DOI : 10.1109/SOCC.2011.6085090

T. C. Yeh, Z. Y. Lin, and M. C. Chiang, Enabling TLM-2.0 interface on QEMU and SystemCbased virtual platform, Proceedings of the IEEE International Conference on Integrated Circuit Design and Technology (ICICDT), pp.1-4, 2011.

T. Yeh and M. Chiang, On the interface between QEMU and SystemC for hardware modeling, 2010 International Symposium on Next Generation Electronics, pp.73-76, 2010.
DOI : 10.1109/ISNE.2010.5669197

S. Yoo, M. W. Youssef, A. Bouchhima, A. A. Jerraya, and M. Diaz-nava, Multi-processor SoC design methodology using a concept of two-layer hardware-dependent software, Proceedings of the Design, Automation, and Test in Europe (DATE), pp.1382-1383, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00008049

P. G. Zhou, D. Parikh, and A. Kwatra, Switching Mechanism in Mixed TLM-2, LT/AT System " . In: Proceedings of the Design Automation Conference, 2009.

Z. Zhang, X. Koutsoukos, M. Götz, A. Rettberg, M. C. Zanella et al., Modeling Time-Triggered Ethernet in SystemC/TLM for Virtual Prototyping of Cyber-Physical Systems, Proceedings of the International Embedded Systems Symposium (IESS), pp.318-330978, 2013.
DOI : 10.1007/978-3-642-38853-8_29

URL : https://hal.archives-ouvertes.fr/hal-01466688

H. Ziyu, Q. Lei, L. Hongliang, X. Xianghui, and Z. Kun, A Parallel SystemC Environment: ArchSC, 2009 15th International Conference on Parallel and Distributed Systems, pp.617-623, 2009.
DOI : 10.1109/ICPADS.2009.28

*. Publications, . International, G. With, M. Delbergue, F. Burton et al., QBox: an industrial solution for virtual platform simulation using QEMU and SystemC TLM-2.0, Proceedings of the European Congress Embedded Real Time Software And Systems (ERTS), 2016.

M. Delbergue, B. L. Burton, C. Gal, and . Jego, Beyond QBox: development of virtual platforms based on QEMU and SystemC TLM-2.0, Proceedings of the Forum on Specification and Design Languages (FDL), 2015.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Analysis of TLM-2.0 and it's Applicability to Non Memory Mapped Interfaces, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2016.

M. Delbergue, B. L. Burton, C. Gal, and . Jego, The missing SystemC and TLM asynchronous features enabling inter-simulation synchronization, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2016.

G. Invited-presentation, T. Delbergue, and . Wieman, SystemC Configuration, A preview of the draft standard, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2016.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Multi-threaded Virtual Platform Simulation: An open-source approach, using SystemC TLM-2.0, and QEMU, Proceedings of the Forum on Specification and Design Languages (FDL), 2015.

G. Delbergue, M. Burton, B. L. Gal, and C. Jego, Virtual platform(s) simulation: an open-source, reusable, affordable and structured approach based on TLM/CCI, Proceedings of the Design and Verification Conference and Exhibition Europe (DVConEU), 2015.

M. Delbergue, B. L. Burton, C. Gal, and . Jego, Analysis of TLM-2.0 and it's applicability to non memory mapped interfaces, Proceedings of the SystemC Evolution Day, 2016.

M. Delbergue, B. L. Burton, C. Gal, and . Jego, Open Source Heterogeneous AMP Virtual Platforms built using the SystemC and TLM standards, Proceedings of the Open Source Digital Design Conference (ORConf), 2016.

M. Delbergue, B. L. Burton, C. Gal, and . Jego, A SystemC asynchronous wait mechanism enabling multi-threading and multi-simulator support, Proceedings of the SystemC Evolution Day, 2016.

G. National-conferences-with-proceedings, M. Delbergue, B. L. Burton, C. Gal, and . Jego, Plateformes virtuelles SystemC/TLM : configuration, communication et parallélisation, Proceedings of the Groupement De Recherche System On Chip et System-In-Package (GDR SoC-SiP), 2017.