Fabrication of 5nm linewidth and 14nm pitch features by nanoimprint lithography, Applied Physics Letters Bd, vol.84, pp.5299-5301, 2004. ,
High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates, In: Advanced Materials Bd, vol.20, issue.11, pp.2044-2049, 2008. ,
-4-vinylpyridine) and Polystyrene, Nr. 10, S, pp.3752-3756, 2000. ,
DOI : 10.1021/ma992118+
Unbiased line width roughness measurements with critical dimension scanning electron microscopy and critical dimension atomic force microscopy, In: Journal of Applied Physics Bd, vol.111, issue.8, p.84318, 2012. ,
URL : https://hal.archives-ouvertes.fr/hal-00808841
Ordering Transition of Block Copolymer Films, Nr. 15, pp.6958-6962, 2005. ,
Surface morphology of PS?PDMS diblock copolymer films, Nr. 1, S, pp.93-110, 2001. ,
Macroscopic Orientation of Block Copolymer Cylinders in Single-Layer Films by Shearing, Nr. 19, S, pp.1736-1740, 2004. ,
Block Copolymers???Designer Soft Materials, Physics Today, vol.73, issue.2, pp.32-38, 1999. ,
DOI : 10.1021/ma00093a006
URL : http://physicstoday.scitation.org/doi/pdf/10.1063/1.882522
ALAMGIR: Orientational Order in Block Copolymer Films Zone Annealed below the Order?Disorder Transition Temperature, Nr. 9, S, pp.2789-2794, 2007. ,
Contact hole multiplication using grapho-epitaxy directed self-assembly: process choices, template optimization, and placement accuracy ,
Développement de procédés de gravure plasma innovants pour les technologies sub-14 nm par couplage de la lithographie conventionnelle avec l'approche auto-alignée par copolymère à blocs, 2016. ,
Perpendicular Block Copolymer Microdomains in High Aspect Ratio Templates, Nr. 10, pp.6901-6908, 2015. ,
The Morphology of Ordered Block Copolymer Patterns as Probed by High Resolution Imaging, Nanomaterials and Nanotechnology, issue.1, 2014. ,
Nanometer-Scale Pattern Registration and Alignment by Directed Diblock Copolymer Self-Assembly, Nr. 3, S, pp.412-415, 2004. ,
DOI : 10.1109/TNANO.2004.834160
A Hybrid Chemo-/Grapho-Epitaxial Alignment Strategy for Defect Reduction in Sub-10 nm Directed Self-Assembly of Silicon-Containing Block Copolymers, Chemistry of Materials, 2016. ,
Polarity-switching top coats enable orientation of sub-10-nm block copolymer domains Nr. 6108, S. 775?779 [Carb00] Carbon nanostructures from polyacrylonitrile copolymer precursors. URL https, Strategies for Inorganic Incorporation using Neat Block Copolymer Thin Films for Etch Mask Function and Nanotechnological Application. In: Advanced Materials Bd, pp.28-5586, 2012. ,
Nanostructure engineering by templated self-assembly of block copolymers, Nature Materials Bd, vol.3, issue.11, pp.823-828, 2004. ,
Self-assembling morphologies of symmetrical PS-b-PMMA in different sized confining grooves, Nr. 92, S, pp.50393-50400, 2014. ,
Solvothermal Vapor Annealing of Lamellar Poly(styrene)-block -poly( D , L -lactide) Block Copolymer Thin Films for Directed Self-Assembly Application, Nr. 12, S, pp.8295-8304, 2016. ,
Multiple electron-beam lithography, In: Microelectronic Engineering Bd, vol.57, pp.117-135, 2001. ,
Blending approaches to enhance structural order in block-copolymer's self-assemblies Improvements of self-assembly properties via homopolymer addition or block-copolymer blends The next high-performance transistor, Nr. 10, S, pp.53-64, 2015. ,
Templated Self- Assembly of Block Copolymers: Effect of Substrate Topography, Nr. 19, S, pp.1599-1602, 2003. ,
Simple and Versatile Methods To Integrate Directed Self-Assembly with Optical Lithography Using a Polarity-Switched Photoresist, Nr. 8, S, pp.4815-4823, 2010. ,
28nm pitch of line/space pattern transfer into silicon substrates with chemo-epitaxy Directed Self-Assembly (DSA) process flow, Microelectronic Engineering Bd, pp.123-180, 2014. ,
Self-Assembled One-Dimensional Nanostructure Arrays, Nr. 9, S, pp.2099-2103, 2006. ,
Pattern Registration Between Spherical Block-Copolymer Domains and Topographical Templates, Nr. 5, S, pp.597-601, 2006. ,
Influence of template fill in graphoepitaxy DSA, ): , 2016, S. 97791G [DBMS15 ,
Development of plasma etching processes to pattern sub-15 nm features with PS-b -PMMA block copolymer masks: Application to advanced CMOS technology, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena Bd, p.32, 2014. ,
Deterministically isolated gratings through the directed self-assembly of block copolymers Enabling complex nanoscale pattern customization using directed selfassembly, Nature Communications Bd, vol.5, p.5805, 2013. ,
Embedded grafted layer for advanced surface affinity control in grapho-epitaxy directed selfassembly, ACS Applied Materials & Interfaces, 2017. ,
Novel Si-based nanowire devices: Will they serve ultimate MOSFETs scaling or ultimate hybrid integration? In: Electron Devices Meeting, IEEE International : IEEE, pp.1-4, 2008. ,
Sub-10 nm Resistless Nanolithography for Directed Self-Assembly of Block Copolymers, ACS Applied Materials & Interfaces Bd, vol.6, pp.21596-21602, 2014. ,
Fluctuation effects in the theory of microphase separation in block copolymers, In: The Journal of Chemical Physics Bd, vol.87, issue.1, pp.697-705, 1987. ,
Advanced scanning probe lithography, Nr. 8, S, pp.577-587, 2014. ,
Implementation of templated DSA for via layer patterning at the 7nm node, pp.2015-942305 ,
Contact holes patterning by directed self-assembly of block copolymers: process window study, In: Journal of Micro, vol.14, issue.2, pp.23508-023508, 2015. ,
Recent progress in nanoimprint technology and its applications, Journal of Physics D: Applied Physics Bd, vol.37, issue.11, pp.123-141, 2004. ,
Phase Behavior of a Block Copolymer in Solvents of Varying Selectivity, Nr. 16, pp.5918-5931, 2000. ,
Time-resolved atomic force microscopy imaging studies of asymmetric PS-b-PMMA ultrathin films: Dislocation and disclination transformations, defect mobility, and evolution of nanoscale morphology, In: The Journal of Chemical Physics Bd, vol.114, issue.10, p.4730, 2001. ,
Domainboundary structure of styrene-isoprene block copolymer films cast from solution. 4. Molecular-weight dependence of lamellar microdomains, In: Macromolecules Bd, vol.13, pp.1237-1247, 1980. ,
Ordered structure in mixtures of a block copolymer and homopolymers. 2. Effects of molecular weights of homopolymers, In: Macromolecules Bd, vol.23, pp.4378-4386, 1990. ,
Solvent-induced microdomain orientation in polystyrene-b-poly(l-lactide) diblock copolymer thin films for nanopatterning, In: Polymer Bd, vol.46, pp.9362-9377, 2005. ,
Bicontinuous microdomain morphology of block copolymers. 1. Tetrapodnetwork structure of polystyrene-polyisoprene diblock polymers, Nr. 7, S, pp.1651-1662, 1987. ,
Side-Chain-Grafted Random Copolymer Brushes as Neutral Surfaces for Controlling the Orientation of Block Copolymer Microdomains in Thin Films, Nr. 18, S, pp.7855-7860, 2006. ,
Nanoporous Membranes Derived from Block Copolymers: From Drug Delivery to Water Filtration, Nr. 7, S, pp.3548-3553, 2010. ,
Flash Light Millisecond Self-Assembly of High ? Block Copolymers for Wafer-Scale Sub-10 nm Nanopatterning, Nr. 32, p.1700595, 2017. ,
Solvent-Vapor-Induced Tunability of Self- Assembled Block Copolymer Patterns, In: Advanced Materials Bd, vol.21, pp.2540-2545, 2009. ,
Directed Assembly of High Molecular Weight Block Copolymers: Highly Ordered Line Patterns of Perpendicularly Oriented Lamellae with Large Periods, Nr. 3, S, 1952. ,
Behavior of Lamellar Forming Block Copolymers under Nanoconfinement: Implications for Topography Directed Self-Assembly of Sub-10 nm Structures, Nr. 1, S, pp.47-276, 2014. ,
DOI : 10.1021/ma4019735
Polyisoprene-polystyrene diblock copolymer phase diagram near the order-disorder transition, In: Macromolecules Bd, vol.28, pp.8796-8806, 1995. ,
Phase Behavior in Thin Films of Cylinder- Forming Block Copolymers, In: Physical Review Letters Bd, vol.89, issue.3, 2002. ,
Characterization of half-pitch 15-nm metal wire circuit fabricated by directed self-assembly of polystyrene-block-poly(methyl methacrylate) In: Microelectronic Engineering Bd, pp.159-180, 2016. ,
The SMARTTM Process for Directed Block Co- Polymer Self-Assembly, Nr. 5, S, pp.573-579, 2013. ,
Sub-5 nm Domains in Ordered Poly(cyclohexylethylene)-block -poly(methyl methacrylate) Block Polymers for Lithography, Macromolecules Bd, vol.47, pp.1411-1418, 2014. ,
Self-consistent field theory investigation of directed self-assembly in cylindrical confinement, Journal of Polymer Science Part B: Polymer Physics Bd, vol.53, issue.2, pp.142-153, 2015. ,
Towards electrical testable SOI devices using Directed Self-Assembly for fin formation, pp.2014-904909 ,
Straightforward Integration Flow of a Silicon-Containing Block Copolymer for Line???Space Patterning, ACS Applied Materials & Interfaces, vol.9, issue.49, 2017. ,
DOI : 10.1021/acsami.7b12217
Novel high? silicon containing block-copolymer for nanolithography application: poly(styrene)-b- poly, p.1, 2017. ,
Fabrication of Lithographically Defined Chemically Patterned Polymer Brushes and Mats, Nr. 7, S, pp.1876-1885, 2011. ,
Phase Behavior of Block Copolymers in a Neutral Solvent, Nr. 3, S, pp.816-822, 2003. ,
Directed self-assembly of block copolymers by chemical or topographical guiding patterns: Optimizing molecular architecture, thinfilm properties, and kinetics, Progress in Polymer Science Bd, pp.54-55, 2016. ,
Fin formation using graphoepitaxy DSA for FinFET device fabrication Poly(dimethylsiloxane-b -methyl methacrylate): A Promising Candidate for Sub-10 nm Patterning, Macromolecules Bd Nr. 11, S, pp.48-3422, 2015. ,
Defect Removal in the Course of Directed Self-Assembly is Facilitated in the Vicinity of the Order-Disorder Transition, In: Physical Review Letters Bd, vol.113, p.16, 2014. ,
Integration of block copolymer directed assembly with 193 immersion lithography Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching, Nr. 6, S. C6B30-C6B34 [LNTW07 Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Bd, p.25, 1963. ,
Effect of line-edge roughness (LER) and line-width roughness (LWR) on sub-100-nm device performance, p.426, 2004. ,
Unifying weak-and strong-segregation block copolymer theories, In: Macromolecules Bd, vol.29, issue.4, pp.1091-1098, 1996. ,
Step and Repeat UV nanoimprint lithography tools and processes, p.222, 2004. ,
Polystyrene negative resist for high-resolution electron beam lithography, Nanoscale research letters Bd, vol.6, issue.1, p.446, 2011. ,
Grafting on Solid Surfaces: ???Grafting to??? and ???Grafting from??? Methods, Polymer surfaces and interfaces, pp.215-234, 2008. ,
DOI : 10.1007/978-3-540-73865-7_11
High-resolution focused ion beam lithography, In: Microelectronic engineering Bd, vol.11, issue.1, pp.427-430, 1990. ,
Controlling polymersurface interactions with random copolymer brushes, Nr. 5305, S, pp.1458-1460, 1997. ,
Graphoepitaxial and chemoepitaxial methods for creating line-space patterns at 33nm pitch: comparison to a HVM process, pp.2015-942304 ,
Thickness-induced morphology changes in lamellar diblock copolymer ultrathin films, In: EPL (Europhysics Letters) Bd, vol.40, issue.6, p.643, 1997. ,
Directed self-assembly of block copolymers for nanomanufacturing , Electronic and Optical Materials, p.2015 ,
ITRS lithography roadmap: 2015 challenges, In: Advanced Optical Technologies Bd, vol.4, issue.4, 2015. ,
Modeling line edge roughness effects in sub 100 nanometer gate length devices, 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No.00TH8502), pp.131-134, 2000. ,
DOI : 10.1109/SISPAD.2000.871225
Polystyrene as a brush layer for directed self-assembly of block co-polymers, In: Microelectronic Engineering Bd, vol.110, pp.234-240, 2013. ,
Estimation of the surface free energy of polymers, In: Journal of applied polymer science Bd, vol.13, pp.1741-1747, 1969. ,
Observation of surface corrugation-induced alignment of lamellar microdomains in PS-b-PMMA thin films, In: Soft Matter Bd, vol.5, p.957, 2009. ,
Defect mitigation and root cause studies in 14 nm half-pitch chemoepitaxy directed self-assembly LiNe flow, Nr. 3, S, pp.14-031204, 2015. ,
Ordering dynamics in symmetric PS-b-PMMA diblock copolymer thin films during rapid thermal processing, Nr. 32, p.6655, 2014. ,
DSA planarization approach to solve pattern density issue, Alignment of perpendicular lamellae in block copolymer thin films by shearing. In: Soft Matter Bd, p.5358, 2012. ,
Mechanisms involved in HBr and Ar cure plasma treatments applied to 193 nm photoresists, Nr. 9, p.94902, 2009. ,
URL : https://hal.archives-ouvertes.fr/hal-00454171
Directed Self-Assembly of Lamellar Microdomains of Block Copolymers Using Topographic Guiding Patterns, Nr. 15, pp.5895-5899, 2009. ,
Directed Assembly of Lamellae-Forming Block Copolymers by Using Chemically and Topographically Patterned Substrates, In: Advanced Materials Bd, vol.19, pp.607-611, 2007. ,
Impact of materials selection on graphoepitaxial directed self-assembly for line-space patterning, Microlithography, Micromachining, and Microfabrication. Bd, vol.1, 1997. ,
All track directed self-assembly of block copolymers: process flow and origin of defects, pp.2012-83230 ,
Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly, In: Science Bd, vol.321, pp.936-939, 2008. ,
Non-native three-dimensional block copolymer morphologies, Nature Communications Bd, vol.7, p.13988, 2016. ,
Local Defectivity Control of 2D Self-Assembled Block Copolymer Patterns, Nr. 16, S, pp.2157-2162, 2007. ,
A generalized approach to the modification of solid surfaces, In: Science Bd, vol.308, pp.236-239, 2005. ,
Using chemo-epitaxial directed self-assembly for repair and frequency multiplication of EUVL contact-hole patterns, ): , 2014, S. 90492F [SeHK01] Graphoepitaxy of Spherical Domain Block Copolymer Films. In: Advanced Materials Bd Nr. 15, S, pp.13-1152, 2001. ,
Structural Transitions in Asymmetric Poly(styrene)-block -Poly(lactide) Thin Films Induced by Solvent Vapor Exposure, Nr. 15, S, pp.12146-12152, 2014. ,
URL : https://hal.archives-ouvertes.fr/hal-01289942
Comparison of directed self-assembly integrations The electronic theory of the transistor, In: Science Bd, vol.108, pp.678-679, 1948. ,
High ??Low N Block Polymers: How Far Can We Go? In: ACS Macro Letters Bd, Nr. 9, S, pp.1044-1050, 2015. ,
Thickness Dependence of Neutral Parameter Windows for Perpendicularly Oriented Block Copolymer Thin Films, Nr. 10, pp.4744-4751, 2010. ,
PMMA removal selectivity to PS using dry etch approach for sub-10nm node applications, 2015 China Semiconductor Technology International Conference, pp.1-3, 2015. ,
DOI : 10.1109/CSTIC.2015.7153384
Quantitative Three-Dimensional Characterization of Block Copolymer Directed Self- Assembly on Combined Chemical and Topographical Prepatterned Templates, ACS Nano Bd, vol.11, issue.2, pp.1307-1319, 2017. ,
Controlling interfacial interactions for directed self assembly of block copolymers, Journal of Polymer Science Part B: Polymer Physics, vol.26, issue.2, pp.96-102, 2015. ,
DOI : 10.2494/photopolymer.26.817
Hierarchical Assembly and Compliance of Aligned Nanoscale Polymer Cylinders in Confinement, Nr. 12, pp.5091-5099, 2004. ,
Guiding Polymers to Perfection: Macroscopic Alignment of Nanoscale Domains, Nano Letters Bd, vol.4, issue.2, pp.273-276, 2004. ,
Tuning polymer-surface chemistries and interfacial interactions with UV irradiated polystyrene chains to control domain orientations in thin films of PS-b-PMMA, In: Soft Matter Bd, vol.12, issue.11, pp.2923-2931, 2016. ,
Driving DSA into volume manufacturing Nanoimprint system development and status for high volume semiconductor manufacturing, Proc. SPIE. Bd. 9423, pp.94230-94231, 2015. ,
Pattern density multiplication by direct self assembly of block copolymers: toward 300mm CMOS requirements, pp.2012-83230 ,
Template affinity role in CH shrink by DSA planarization, pp.2015-942317 ,
Surface Roughening of Polystyrene and Poly(methyl methacrylate) in Ar/O2 Plasma Etching, In: Polymers Bd, vol.2, pp.649-663, 2010. ,
Electrical characterization of FinFETs with fins formed by directed self assembly at 29 nm fin pitch using a self-aligned fin customization scheme, Electron Devices Meeting (IEDM), pp.2014-2046, 2014. ,
Sub-30 nm pitch line-space patterning of semiconductor and dielectric materials using directed self-assembly, Nr. 6, S. 06F205 [TNGA16] Multilayer block copolymer meshes by orthogonal self-assembly. In: Nature Communications Bd, p.10518, 2012. ,
Two-Dimensional Pattern Formation Using Graphoepitaxy of PS-b ,
AMD's Bobcat versus Intel's Atom. URL http ,
MAPPER: highthroughput maskless lithography, 2009. ,
DOI : 10.1117/12.849480
Performance of tri-layer process required for 22 nm and beyond Tuning the strength of chemical patterns for directed self-assembly of block copolymers Three-Tone Chemical Patterns for Block Copolymer Directed Self-Assembly, Surface and interfacial tensions of polymer melts. II. Poly (methyl methacrylate), poly (n-butyl methacrylate), and polystyrene The Journal of Physical Chemistry Bd Nr. 3, S, pp.2704-2712, 1970. ,
Directed self-assembly of high-chi block copolymer for nano fabrication of bit patterned media via solvent annealing, Nanotechnology Bd, vol.27, 2016. ,
Fabrication of nanostructures with laser interference lithography, Nr, pp.1-2, 2008. ,
Experimental study of sub-DSA resolution assist features (SDRAF) ,
Flexible Control of Block Copolymer Directed Self-Assembly using Small, Topographical Templates: Potential Lithography Solution for Integrated Circuit Contact Hole Patterning, In: Advanced Materials Bd, vol.24, pp.3107-3114, 2012. ,
Self-Assembly of AB Diblock Copolymers under Confinement into Topographically Patterned Surfaces, In: The Journal of Physical Chemistry B Bd, vol.113, pp.14052-14061, 2009. ,
Fast Assembly of Ordered Block Copolymer Nanostructures through Microwave Annealing, ACS Nano Bd, vol.4, issue.11, pp.7021-7029, 2010. ,
Ordered Nanoporous Polymers from Polystyrene?Polylactide Block Copolymers, Journal of the American Chemical Society Bd, vol.124, pp.12761-12773, 2002. ,