R. Possamai-bastos, L. A. Guimarães, F. S. Torres, and L. Fesquet, Architectures of bulk built-in current sensors for detection of transient faults in integrated circuits, Microelectronics Journal, vol.71, p.2017
DOI : 10.1016/j.mejo.2017.11.006

URL : https://hal.archives-ouvertes.fr/hal-01721110

L. A. Guimarães, R. P. Bastos, and L. Fesquet, Detection of layout-level trojans by injecting current into substrate and digitally monitoring built-in sensors. Work-in-Progress (WIP) session, pp.54-2017

L. A. Guimarães, R. P. Bastos, and L. Fesquet, Detection of Layout-Level Trojans by Monitoring Substrate with Preexisting Built-in Sensors, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.290-295, 2017.
DOI : 10.1109/ISVLSI.2017.58

L. A. Guimarães, R. P. Bastos, T. F. De-paiva-leite, and L. Fesquet, Simple tri-state logic Trojans able to upset properties of ring oscillators, 2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS), pp.1-6, 2016.
DOI : 10.1109/DTIS.2016.7483811

L. A. Guimarães, T. F. De-paiva-leite, R. P. Bastos, and L. Fesquet, Non-intrusive testing technique for detection of trojans in asynchronous circuits Under review, Design, Automation Test in Europe Conference Exhibition (DATE), 2018.

A. , J. Acharyya, D. , R. , R. And-plusquellic et al., Detecting trojans through leakage current analysis using multiple supply pad I ddq s, IEEE Transactions on Information Forensics and Security, vol.5, issue.4, pp.893-904, 2010.

A. , D. Baktir, S. Karakoyunlu, D. Rohatgi, P. And-sunar et al., Trojan detection using ic fingerprinting, In SP, pp.296-310, 2007.

B. , M. Chandrasekar, M. Fang, L. And-hsiao, and M. S. , Guided test generation for isolation and detection of embedded trojans in ics, Proceedings of the 18th ACM Great Lakes Symposium on VLSI GLSVLSI '08, ACM, pp.363-366, 2008.

B. , M. And-hsiao, and M. S. , Trusted rtl: Trojan detection methodology in presilicon designs, 2010 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.56-59, 2010.

B. , R. P. Dutertre, J. M. And-torres, and F. S. , Comparison of bulk built-in current sensors in terms of transient-fault detection sensitivity, VARI, 2014.
URL : https://hal.archives-ouvertes.fr/emse-01099015

B. , P. Bossuet, L. Aubert, A. Fischer, V. Poucheret et al., Contactless electromagnetic active attack on ring oscillator based true random number generator, Constructive Side-Channel Analysis and Secure Design, pp.151-166, 2012.
URL : https://hal.archives-ouvertes.fr/ujm-00699618

G. Becker, F. Regazzoni, C. Paar, . And, and W. Burleson, Stealthy Dopant-Level Hardware Trojans, CHES (2013), pp.197-214
DOI : 10.1007/978-3-642-40349-1_12

URL : http://people.umass.edu/gbecker/BeckerChes13.pdf

S. Bhasin, J. Danger, S. Guilley, X. Ngo, and L. And-sauvage, Hardware Trojan Horses in Cryptographic IP Cores, 2013 Workshop on Fault Diagnosis and Tolerance in Cryptography, pp.15-29
DOI : 10.1109/FDTC.2013.15

URL : https://hal.archives-ouvertes.fr/hal-00855146

C. , B. And-malik, and S. , Hardware trojan detection for gate-level ics using signal correlation based clustering, 2015 Design, Automation Test in Europe Conference Exhibition (DATE), pp.471-476, 2015.

C. , Y. Chang, C. And, C. , and S. , A cluster-based distributed active current sensing circuit for hardware trojan detection, IEEE Transactions on Information Forensics and Security, vol.9, issue.12, pp.2220-2231, 2014.

C. , B. And-gupta, and S. K. , Trojan detection via delay measurements: A new approach to select paths and vectors to maximize effectiveness and minimize cost, DATE, 2013.

C. , H. And-patel, and J. , A logic-level model for alpha-particle hits in cmos circuits, Proc. IEEE International Conference on Computer Design (ICCD'93), pp.538-542, 1993.

C. , R. S. And-bhunia, and S. , Security against hardware trojan through a novel application of design obfuscation, IEEE/ACM International Conference on Computer-Aided Design -Digest of Technical Papers, pp.113-116, 2009.

C. , R. S. Narasimhan, S. And-bhunia, and S. , Hardware trojan: Threats and emerging solutions, IEEE International High Level Design Validation and Test Workshop, pp.166-171, 2009.

C. , R. S. Paul, S. And-bhunia, and S. , On-demand transparency for improving hardware trojan detectability, IEEE International Workshop on Hardware-Oriented Security and Trust, pp.48-50, 2008.

C. , R. S. Saha, I. Palchaudhuri, A. And-naik, and G. K. , Hardware trojan insertion by direct modification of fpga configuration bitstream, IEEE Design Test, vol.30, issue.2, pp.45-54, 2013.

R. S. Chakraborty, F. Wolff, S. Paul, C. Papachristou, . Bhunia et al., MERO: A Statistical Approach for Hardware Trojan Detection, pp.396-410, 2009.
DOI : 10.1007/978-3-642-04138-9_28

C. , X. Wang, L. Wang, Y. Liu, Y. And et al., A general framework for hardware trojan detection in digital circuits by statistical learning algorithms, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.36, pp.10-1633, 2017.

C. , A. Fischer, V. Aubert, A. And-fesquet, and L. , A self-timed ring based true random number generator, Asynchronous Circuits and Systems (ASYNC), 2013 IEEE 19th International Symposium on, pp.99-106, 2013.
URL : https://hal.archives-ouvertes.fr/ujm-00840593

C. , C. And-kawa, and J. , Design for Manufacturability and Yield for Nano-Scale CMOS, 2007.

C. , F. Loubet-moundi, P. Fournier, J. J. And-tria, and A. , A high efficiency hardware trojan detection technique based on fast sem imaging, DATE (2015), pp.788-793

D. Paiva-leite, T. F. Bastos, R. P. Jadue, R. I. And-fesquet, and L. , Comparison of low-voltage scaling in synchronous and asynchronous FD-SOI circuits, 2016 26th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp.229-234, 2016.
DOI : 10.1109/PATMOS.2016.7833692

URL : https://hal.archives-ouvertes.fr/hal-01524087

D. , S. Di-natale, G. Flottes, M. And-rouzeyre, and B. , Identification of Hardware Trojans triggering signals, First Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, 2013.
URL : https://hal.archives-ouvertes.fr/lirmm-00991360

D. , J. Possamai-bastos, R. Potin, O. Flottes, M. Rouzeyre et al., Improving the ability of Bulk Built-In Current Sensors to detect Single Event Effects by using triple-well CMOS, Microelectronics Reliability, vol.54, pp.9-10, 2014.
URL : https://hal.archives-ouvertes.fr/emse-01094805

E. , A. Cherkaoui, A. Elissati, O. Simatic, J. And-fesquet et al., Onthe-fly and sub-gate-delay resolution tdc based on self-timed ring: A proof of concept, Univ. Grenoble Alpes, CNRS, TIMA Laboratory REFERENCES 2017 15th IEEE International New Circuits and Systems Conference (NEWCAS), pp.305-308, 2017.

E. , A. Rao, S. Young, B. And-hanumolu, and P. K. , A noise-shaping timeto-digital converter using switched-ring oscillators?analysis, design, and measurement techniques, IEEE Journal of Solid-State Circuits, vol.49, pp.5-1184, 2014.

F. , J. And-frick, and F. , Introduction to hardware trojan detection methods, 2015 Design, Automation Test in Europe Conference Exhibition (DATE), pp.770-775, 2015.

G. , S. And-marculescu, and D. , System-level leakage variability mitigation for mpsoc platforms using body-bias islands, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.2289-2301, 2012.

G. , M. V. And-torres, and F. S. , Automatic layout integration of bulk builtin current sensors for detection of soft errors, 2016 29th Symposium on Integrated Circuits and Systems Design (SBCCI), pp.1-6, 2016.

H. , S. R. Mossa, S. F. Perez, C. And-awwad, and F. , Hardware trojans in asynchronous fifo-buffers: From clock domain crossing perspective, 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2015.

H. , W. G. Pammu, A. A. Liu, N. Ne, K. Z. Chong et al., Security analysis of asynchronous-logic qdi cell approach for differential power analysis attack, 2016 International Symposium on Integrated Circuits (ISIC), pp.1-4, 2016.

H. , T. Mustapa, M. Amsaad, F. And-niamat, and M. , Assessment of nand based ring oscillator for hardware trojan detection, 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.1-4, 2015.

K. Hu, A. N. Nowroz, S. Reda, and F. And-koushanfar, High-Sensitivity Hardware Trojan Detection Using Multimodal Characterization, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.1271-1276, 2013.
DOI : 10.7873/DATE.2013.263

J. , N. Merli, D. Heyszl, J. And-sigl, and G. , Hardware trojans: current challenges and approaches, Computers Digital Techniques, IET, vol.8, issue.6, pp.264-273, 2014.

J. , N. Merli, D. Heyszl, J. And-sigl, and G. , Hardware trojans: current challenges and approaches, IET Computers Digital Techniques, vol.8, issue.6, pp.264-273, 2014.

J. , S. And-jha, and S. K. , Randomization based probabilistic approach to detect trojan circuits, 11th IEEE High Assurance Systems Engineering Symposium, pp.117-124, 2008.

J. , Y. And-makris, and Y. , Hardware trojan detection using path delay fingerprint, HOST, pp.51-57, 2008.

K. , N. Tehranipoor, F. Rahman, M. T. Kelly, S. And-forte et al., Genetic algorithm for hardware trojan detection with ring oscillator network (ron), 2015 IEEE International Symposium on Technologies for Homeland Security (HST), pp.1-6, 2015.

K. , T. Hazucha, P. And-patel, and J. , Characterization of soft errors caused by single event upsets in cmos processes, IEEE Trans. Dependable and Secure Computing, vol.1, issue.2, pp.128-143, 2004.

K. , P. And, and A. G. Voyiatzis, Towards a hardware trojan detection methodology, 2014 3rd Mediterranean Conference on Embedded Computing (MECO), pp.18-23, 2014.

K. Inaba, T. Y. And-imai, and M. , Hardware trojan asynchronous noc router, Asynchronous Circuits and Systems (ASYNC), 2017 IEEE 24th International Symposium on, 2017.

K. , R. Jovanovic, P. Burleson, W. And-polian, and I. , Parametric trojans for fault-injection attacks on cryptographic hardware, Fault Diagnosis and Tolerance in Cryptography (FDTC), 2014 Workshop on, pp.18-28, 2014.

K. , R. Jovanovic, P. Burleson, W. And-polian, and I. , Parametric trojans for fault-injection attacks on cryptographic hardware, FDTC (2014), pp.18-28

L. , M. Fournier, J. J. And-maurine, and P. , Thoroughly analyzing the use of ring oscillators for on-chip hardware trojan detection, 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig), pp.1-6, 2015.
URL : https://hal.archives-ouvertes.fr/lirmm-01354318

L. , N. Kulkarni, S. And-cheng, and K. , Hardware trojan detection using exhaustive testing of k-bit subspaces, The 20th Asia and South Pacific Design Automation Conference, pp.755-760, 2015.

L. , Y. Huang, K. And-makris, and Y. , Hardware trojan detection through golden chip-free statistical side-channel fingerprinting, DAC, 2014.

L. , F. K. Hasan, S. R. Hasan, O. And-awwad, and F. , Hardware trojan detection in soft error tolerant macro synchronous micro asynchronous (msma) pipeline, 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS), pp.659-662, 2014.

L. , F. K. Hasan, S. R. Hasan, O. And-awwad, and F. , Formal analysis of macro synchronous micro asychronous pipeline for hardware trojan detection, 2015 Nordic Circuits and Systems Conference (NORCAS): NORCHIP International Symposium on System-on-Chip (SoC), pp.1-4, 2015.

M. , A. And-schaumont, and P. , Improving the quality of a physical unclonable function using configurable ring oscillators, Field Programmable Logic and Applications, 2009. FPL 2009. International Conference on, pp.703-707, 2009.

M. , A. And-nystrom, and M. , Asynchronous techniques for system-on-chip design, Proceedings of the IEEE, vol.94, issue.6, pp.1089-1120, 2006.

M. Jr and F. J. , The kolmogorov-smirnov test for goodness of fit, Journal of the American statistical Association, vol.46, issue.253, pp.68-78, 1951.

M. , J. G. And, and F. Torres, Exploration of noise impact on integrated bulk current sensors, Journal of Electronic Testing Theory and Applications, vol.32, issue.2, pp.163-173, 2016.

J. G. Melo, F. S. Torres, and R. P. And-bastos, Exploration of noise robustness and sensitivity of bulk current sensors for soft error detection, VARI (2015), pp.13-18
URL : https://hal.archives-ouvertes.fr/hal-01414765

M. , S. Subramnian, J. Gulliver, T. A. Gebali, F. And-el-kharashi et al., Classification of hardware trojan detection techniques, 2015 Tenth International Conference on Computer Engineering Systems (ICCES), pp.357-362, 2015.

M. , Y. Renaudin, M. And-leveugle, and R. , Designing resistant circuits against malicious faults injection using asynchronous logic, IEEE Transactions on Computers, vol.55, issue.9, pp.1104-1115, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00105247

N. , S. Du, D. Chakraborty, R. S. Paul, S. Wolff et al., Hardware trojan detection by multipleparameter side-channel analysis, IEEE Transactions on Computers, vol.62, pp.11-2183, 2013.

N. , A. , A. M. Huang, and M. C. , Variation-tolerant hierarchical voltage monitoring circuit for soft error detection, Proc. IEEE, pp.799-805, 2009.

N. , D. And-mclellan, and P. , Fabless: The Transformation of the Semiconductor Industry, 2014.

N. , E. H. Kastensmidt, F. L. And-wirth, and G. , Tbulk-bics: A built-in current sensor robust to process and temperature variations for soft error detection, IEEE Trans. Nuclear Science, vol.55, issue.4, pp.2281-2288, 2008.

N. , X. T. Exurville, I. Bhasin, S. Danger, J. L. Guilley et al., Hardware trojan detection by delay and electromagnetic measurements, DATE (2015), pp.782-787
URL : https://hal.archives-ouvertes.fr/hal-01240239

N. , S. And, and M. Singh, Asynchronous design #x2014;part 1: Overview and recent advances. Design Test, IEEE, vol.32, issue.3, pp.5-18, 2015.

O. , M. Shi, Y. Yanagisawa, M. And-togawa, and N. , A score-based classification method for identifying hardware-trojans at gate-level netlists, 2015 Design, Automation Test in Europe Conference Exhibition (DATE), pp.465-470, 2015.

P. , C. Masikos, M. And-zouraraki, and O. , Distributed denial of service attacks, The Internet Protocol Journal, vol.7, issue.4, pp.13-35, 2004.

P. Bastos and R. , A New Bulk Built-In Current Sensor-Based Strategy for Dealing with Long-Duration Transient Faults in Deep-Submicron Technologies, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, pp.302-308, 2011.
DOI : 10.1109/DFT.2011.15

URL : https://hal.archives-ouvertes.fr/lirmm-00701789

P. Bastos and R. , Novel transient-fault detection circuit featuring enhanced bulk built-in current sensor with low-power sleep-mode, Microelectronics Reliability, vol.52, pp.9-10, 2012.
URL : https://hal.archives-ouvertes.fr/lirmm-00715117

P. Bastos and R. , A bulk built-in sensor for detection of fault attacks, 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.51-54
DOI : 10.1109/HST.2013.6581565

URL : https://hal.archives-ouvertes.fr/lirmm-01430800

P. Bastos and R. , A New Recovery Scheme Against Short-to-Long Duration Transient Faults in Combinational Logic, Journal of Electronic Testing, vol.26, issue.5, pp.331-340, 2013.
DOI : 10.1109/MM.2006.103

URL : https://hal.archives-ouvertes.fr/lirmm-00838389

P. Bastos and R. , A single built-in sensor to check pull-up and pull-down CMOS networks against transient faults, 2013 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), pp.157-163
DOI : 10.1109/PATMOS.2013.6662169

URL : https://hal.archives-ouvertes.fr/lirmm-00968621

P. , M. Nahapetian, A. Nelson, M. And-massey, and T. , Hardware trojan horse detection using gate-level characterization, 46th ACM/IEEE Design Automation Conference, pp.688-693, 2009.

R. , R. Plusquellic, J. And-tehranipoor, and M. , Sensitivity analysis to hardware trojans using power supply transient signals, IEEE International Workshop on Hardware-Oriented Security and Trust, pp.3-7, 2008.

R. , T. R. And-sudhakar, and R. , A novel carbon nanotubefet based bulk built-in current sensor for single event upset detection, Sadhana, vol.41, issue.5, pp.489-495, 2016.

R. , T. And-robinson, and W. H. , Detection of hardware trojans in third-party intellectual property using untrusted modules, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.35, issue.3, pp.357-366, 2016.

S. , H. Tehranipoor, M. And-karri, and R. , On design vulnerability analysis and trust benchmark development, ICCD, 2013.

S. , H. Tehranipoor, M. And-plusquellic, and J. , A novel technique for improving hardware trojan detection and reducing trojan activation time, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.112-125, 2012.

S. , B. He, T. Salmani, H. Forte, D. Bhunia et al., Benchmarking of hardware trojans and maliciously affected circuits, Journal of Hardware and Systems Security, vol.1, issue.1, pp.85-102, 2017.

S. Torres, F. And-possamai-bastos, and R. , Robust modular Bulk Built-in Current Sensors for detection of transient faults, 2012 25th Symposium on Integrated Circuits and Systems Design (SBCCI), pp.1-6
DOI : 10.1109/SBCCI.2012.6344422

URL : https://hal.archives-ouvertes.fr/lirmm-00715122

S. Torres, F. And-possamai-bastos, and R. , Detection of transient faults in nanometer technologies by using modular built-in current sensors, Journal of Integrated Circuits and SystemsJICS), vol.8, issue.2, pp.89-97, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00920428

S. , A. And-wirth, and G. , Simulation evaluation of an implemented set of complementary bulk built-in current sensors with dynamic storage cell, IEEE Trans. Device and Materials Reliability, vol.14, issue.1, pp.255-261, 2013.

S. , A. And-wirth, and G. , Adding a self-reset feature to the bulk-bics with dynamic storage cell, Microelectronics Reliability, 2015.

S. , A. And-wirth, and G. , A bulk built-in current sensor for set detection with dynamic memory cell, LASCAS (2012), pp.1-4

S. , J. And-furber, and S. , Principles of Asynchronous Circuit Design: A Systems Perspective, 2010.

S. , B. Martin, W. And, and D. Stinson, A provably secure true random number generator with built-in tolerance to active attacks. Computers, IEEE Transactions on, vol.56, issue.1, pp.109-119, 2007.

T. , M. And-koushanfar, and F. , A survey of hardware trojan taxonomy and detection, IEEE Design Test of Computers, vol.27, issue.1, pp.10-25, 2010.

T. , M. Salmani, H. Zhang, X. Wang, M. Karri et al., Trustworthy hardware: Trojan detection and designfor-trust challenges, Computer, vol.44, issue.7, pp.66-74, 2011.

T. , M. And-wang, and C. , Introduction to Hardware Security and Trust, 2011.

F. Vargas and M. And-nicolaidis, SEU-tolerant SRAM design based on current monitoring, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing, pp.106-115, 1994.
DOI : 10.1109/FTCS.1994.315652

URL : https://hal.archives-ouvertes.fr/hal-00013937

R. A. Viera, Evaluation of bulk built-in current sensors detecting multiple transient faults, Proc. IEEE Asian Test Symposium (ATS'15) (2013), pp.157-163

W. , L. Xie, H. And-luo, and H. , Malicious circuitry detection using transient power analysis for ic security, QR2MSE (2013), pp.1164-1167

W. , X. Salmani, H. Tehranipoor, M. And-plusquellic, and J. , Hardware trojan detection and isolation using current integration and localized current analysis, IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp.87-95, 2008.

W. , X. Tehranipoor, M. And-plusquellic, and J. , Detecting malicious inclusions in secure hardware: Challenges and solutions, HOST, pp.15-19, 2008.

W. , S. Meguerdichian, S. And-potkonjak, and M. , Gate-level characterization: Foundations and hardware security applications, Design Automation Conference, pp.222-227, 2010.

W. , S. And-potkonjak, and M. , Self-consistency and consistency-based detection and diagnosis of malicious circuitry, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1845-1853, 2014.

W. , G. And-fayomi, and C. , The bulk built in current sensor approach for single event transient detection, Proc. International Symposium on System-on-Chip (ISSOC'07), pp.1-4, 2007.

Z. , L. And, C. , and C. H. , Hardware trojan detection with linear regression based gate-level characterization, 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp.256-259, 2014.

Z. , X. And-tehranipoor, and M. Ron, An on-chip ring oscillator network for hardware trojan detection, Design, Automation Test in Europe, pp.1-6, 2011.