. Wrapping and . .. Simulation,

. , DistemC: a Parallel Simulation Infrastructure

. .. Conclusion,

. .. Conclusion,

K. Acronyms and . Kahn, Process Network. 90, 91, 97, 99, vol.107, p.128

. Lt-loosely-timed, , vol.22, p.80

, MPP Massively Parallel Processing, p.65

, MPSoC Multi-Processor System on Chip, vol.64

, NoC Network on Chip, vol.65, p.76

, OS Operating System, vol.16, p.128

, OSCI Open SystemC Initiative, vol.22

, PDES Parallel Discrete Event Simulation, vol.64, p.79

R. Red, . Green, and . Blue, , vol.110, p.134

, RTL Register Transfer Level, vol.12, p.129

, SLDL System-Level Description Language, vol.82

, SMP Symmetric Multi-Processing, vol.64

, TLM Transaction Level Modeling. 13, vol.19, p.129

Y. Luma and . Blue/red-differences-chroma, , vol.110, p.134

, Revision of IEEE Std 1666-2005), pp.1-638, 2012.

M. Moy, Parallel Programming with SystemC for Loosely Timed Models: A Non-Intrusive Approach, Design, Automation and Test in Europe (DATE), 2013.
URL : https://hal.archives-ouvertes.fr/hal-00761047

C. Helmstetter, Validation de Modèles de Systèmes sur Puce en Présence d'Ordonnancements Indéterministes et de Temps Imprécis, 2007.

M. Moy, Techniques et Outils pour la Vérification de Systèmes-sur-Puce au Niveau Transaction, 2005.

J. Cornet, Séparation des Aspects Fonctionnels et non-Fonctionnels dans les Modèles Transactionnels des Systèmes sur Puce, 2008.

G. Funchal, Contributions to the Transaction-Level Modeling of Systems, 2011.

Y. Bouzouzou, Accélération des Simulations de Systèmes sur Puce au Niveau Transactionnel. Diplôme de Recherche Technologique (DRT), 2007.

G. Martin and G. Smith, High-Level Synthesis: Past, Present, and Future, IEEE Design Test of Computers, vol.26, issue.4, pp.18-25, 2009.

H. Ren, A Brief Introduction on Contemporary High-Level Synthesis, IEEE International Conference on IC Design Technology, pp.1-4, 2014.

D. J. Pagliari, M. R. Casu, and L. P. Cartoni, Acceleration of Microwave Imaging Algorithms for Breast Cancer Detection via High-Level Synthesis, IEEE International Conference on Computer Design (ICCD), pp.475-478, 2015.

G. Inggs, S. Fleming, D. Thomas, and W. Luk, Is High Level Synthesis Ready for Business? A Computational Finance Case Study, International Conference on Field-Programmable Technology (FPT), pp.12-19, 2014.

D. Gajski, T. Austin, and S. Svoboda, What Input Language is the Best Choice for High Level Synthesis (HLS)? In Design Automation Conference (DAC), pp.857-858, 2010.

D. Becker, M. Moy, and J. Cornet, SycView: Visualize and Profile SystemC Simulations, Workshop on Design Automation for Understanding Hardware Designs, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01295282

D. Becker, M. Moy, and J. Cornet, Challenges for the Parallelization of Loosely-Timed SystemC Programs, IEEE International Symposium on Rapid System Prototyping (RSP), 2015.
URL : https://hal.archives-ouvertes.fr/hal-01214891

D. Becker, M. Moy, and J. Cornet, Parallel Simulation of Loosely Timed SystemC/TLM Programs: Challenges Raised by an Industrial Case Study, Electronics, vol.5, issue.2, p.22, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01321055

D. Große, R. Drechsler, L. Linhard, and G. Angst, Efficient Automatic Visualization of SystemC Designs, FDL, pp.646-658, 2003.

D. Berner, J. Talpin, D. Hiren, D. Patel, . Mathaikutty et al., SystemCXML: An Extensible SystemC Front end Using XML, FDL, pp.405-409, 2005.

C. Albrecht, C. J. Eibl, and R. Hagenau, A Loosely-Coupled Graphical User Interface for Run-Time Control of SystemC Simulation Models. IJSSST, 2006.

C. Genz and R. Drechsler, System Exploration of SystemC Designs, Emerging VLSI Technologies and Architectures, 2006.

C. Genz, R. Drechsler, G. Angst, and L. Linhard, Visualization of SystemC Designs, Circuits and Systems (ISCAS), IEEE International Symposium on, pp.413-416, 2007.

R. Drechsler and J. Stoppe, Hardware/Software Co-Visualization on the Electronic System Level using SystemC, International Conference on VLSI Design, 2016.

J. Liu, J. J. Cochran, L. A. Cox, P. Keskinocak, J. P. Kharoufeh et al., Parallel Discrete-Event Simulation, 2010.

B. D. De-dinechin, R. Ayrignac, P. E. Beaucamps, P. Couvert, B. Ganne et al., A clustered manycore processor architecture for embedded and accelerated applications, IEEE High Performance Extreme Computing Conference (HPEC), pp.1-6, 2013.

B. Chopard, P. Combes, and J. Zory, A Conservative Approach to SystemC Parallelization, Computational Science, ICCS, vol.3994, pp.653-660, 2006.

C. Schumacher, R. Leupers, D. Petras, and A. Hoffmann, parSC: Synchronous Parallel SystemC Simulation on Multi-core Host Architectures, Hardware/Software Codesign and System Synthesis, pp.241-246, 2010.

N. Ventroux, J. Peeters, T. Sassolas, and J. C. Hoe, Highly-Parallel Special-Purpose Multicore Architecture for SystemC/TLM Simulations, Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), International Conference on, pp.250-257, 2014.
URL : https://hal.archives-ouvertes.fr/cea-01817866

M. Nanjundappa, H. D. Patel, B. A. Jose, and S. K. Shukla, SCGPSim: A Fast SystemC Simulator on GPUs, Asia and South Pacific Design Automation Conference (ASP-DAC), pp.149-154, 2010.

W. Chen and R. Dömer, Optimized Out-of-order Parallel Discrete Event Simulation Using Predictions, Design, Automation and Test in Europe (DATE), pp.3-8, 2013.

T. Schmidt, G. Liu, and R. Dömer, Hybrid Analysis of SystemC Models for Fast and Accurate Parallel Simulation, Asia and South Pacific Design Automation Conference (ASP-DAC), pp.226-231, 2017.

S. Reder, C. Roth, H. Bucher, O. Sander, and J. Becker, Adaptive Algorithm and Tool Flow for Accelerating SystemC on Many-Core Architectures. Microprocessors and Microsystems, pp.1063-1075, 2015.

P. Combes, E. Caron, F. Desprez, B. Chopard, and J. Zory, Relaxing Synchronization in a Parallel SystemC Kernel, Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA), 2008.
DOI : 10.1109/ispa.2008.124

URL : https://hal.archives-ouvertes.fr/hal-01428323

S. Vinco, V. Bertacco, D. Chatterjee, and F. Fummi, SAGA: SystemC Acceleration on GPU Architectures, Design Automation Conference (DAC), pp.115-120, 2012.

E. Viaud, F. Pêcheux, and A. Greiner, An Efficient TLM/T Modeling and Simulation Environment Based on Conservative Parallel Discrete Event Principles, Design, Automation and Test in Europe (DATE), vol.1, pp.1-6, 2006.
DOI : 10.1109/date.2006.244003

URL : https://hal.archives-ouvertes.fr/hal-01338224

A. Vieira-de, I. M. Mello, A. Pessoa, F. Greiner, and . Pêcheux, Parallel Simulation of SystemC TLM 2.0 Compliant MPSoC on SMP Workstations, Design, Automation and Test in Europe (DATE), pp.606-609, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00748083

J. Peeters, N. Ventroux, T. Sassolas, and L. Lacassagne, A SystemC TLM Framework for Distributed Simulation of Complex Systems with Unpredictable Communication, Design and Architectures for Signal and Image Processing (DASIP), pp.1-8, 2011.
DOI : 10.1109/dasip.2011.6136847

S. Jones, Optimistic Parallelisation of SystemC, 2011.

C. Sauer, H. Bluethgen, and H. Loeb, Distributed Loosely-Synchronized SystemC/TLM Simulations of Many-Processor Platforms, Forum on Specification and Design Languages (FDL), pp.1-8, 2014.
DOI : 10.1109/fdl.2014.7119360

C. Schumacher, J. H. Weinstock, R. Leupers, G. Ascheid, L. Tosoratto et al., Dietmar Petras, and Hoffmann Andreas. legaSCi: Legacy SystemC Model Integration into Parallel SystemC Simulators, Proceedings of Parallel and Distributed Processing Symposium Workshops PhD Forum (IPDPSW), pp.2188-2193, 2013.

J. H. Weinstock, C. Schumacher, R. Leupers, G. Ascheid, and L. Tosoratto, Time-Decoupled Parallel SystemC Simulation, Design, Automation and Test in Europe (DATE), 2014.
DOI : 10.7873/date2014.204

J. H. Weinstock, R. Leupers, and G. Ascheid, Parallel SystemC Simulation for ESL Design Using Flexible Time Decoupling, Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), International Conference on, pp.378-383, 2015.
DOI : 10.1109/samos.2015.7363702

J. H. Weinstock, R. Leupers, G. Ascheid, D. Petras, and A. Hoffmann, SystemC-Link: Parallel SystemC Simulation using Time-Decoupled Segments, Design, Automation and Test in Europe (DATE), 2016.

D. Hiren, E. K. Patel, and . Shukla, Towards a Heterogeneous Simulation Kernel for System Level Models: A SystemC Kernel for Synchronous Data Flow Models, Proceedings of the 14th ACM Great Lakes symposium on VLSI, pp.248-253, 2004.

R. Fujimoto, Parallel and Distributed Simulation, Winter Simulation Conference (WSC), pp.45-59, 2015.

M. Trams, Conservative Distributed Discrete Event Simulation with SystemC using Explicit Lookahead. Digital Force White Paper, 2004.

N. Ventroux and T. Sassolas, A New Parallel SystemC Kernel Leveraging Manycore Architectures, Design, Automation and Test in Europe (DATE), 2016.
DOI : 10.3850/9783981537079_0325

URL : https://hal.archives-ouvertes.fr/cea-01843186

W. Chen, X. Han, and R. Dömer, Out-of-Order Parallel Simulation for ESL Design, Design, Automation and Test in Europe (DATE), pp.141-146, 2012.

W. Chen, X. Han, and R. Dömer, May-Happen-in-Parallel Analysis based on Segment Graphs for Safe ESL Models, Design, Automation and Test in Europe (DATE), pp.1-6, 2014.
DOI : 10.7873/date2014.300

URL : http://www.cecs.uci.edu/~doemer/pubs/DATE_14.pdf

R. Sinha, A. Prakash, and H. D. Patel, Parallel Simulation of Mixed-Abstraction SystemC Models on GPUs and Multicore CPUs, Asia and South Pacific Design Automation Conference (ASP-DAC), pp.455-460, 2012.
DOI : 10.1109/aspdac.2012.6164991

N. Bombieri, S. Vinco, V. Bertacco, and D. Chatterjee, SystemC Simulation on GP-GPUs: CUDA vs OpenCL, Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2012.
DOI : 10.1145/2380445.2380500

G. Funchal and M. Moy, jTLM: an Experimentation Framework for the Simulation of Transaction-Level Models of Systems-on-Chip, Design, Automation and Test in Europe (DATE), 2011.

R. Dömer, W. Chen, X. Han, and A. Gerstlauer, Multi-Core Parallel Simulation of System-Level Description Languages, Asia and South Pacific Design Automation Conference (ASP-DAC), 2011.

R. Dömer, W. Chen, and X. Han, Parallel Discrete Event Simulation of Transaction Level Models, Asia and South Pacific Design Automation Conference (ASP-DAC), pp.227-231, 2012.

M. Hybinette and R. M. Fujimoto, Cloning Parallel Simulations. ACM Transactions on Modeling and Computer Simulation, vol.11, issue.4, pp.378-407, 2001.

G. Kahn, The Semantics of a Simple Language for Parallel Programming. Information Processing, vol.74, pp.471-475, 1974.

T. M. Parks, Bounded Scheduling of Process Networks, 1995.

A. Alexandrescu, Lock-Free Data Structures. C/C++ User Journal, 2004.

, Retrieved from https://isocpp.org/std/the-standard, International Organization for Standardization, 2017.

N. M. Lê, A. Guatto, A. Cohen, and A. Pop, Correct and Efficient Bounded FIFO Queues, International Symposium on Computer Architecture and High Performance Computing, pp.144-151, 2013.

A. Nistor, D. Marinov, and J. Torrellas, Light64: Lightweight Hardware Support for Data Race Detection during Systematic Testing of Parallel Programs, p.42

, Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), pp.541-552, 2009.

M. Gligoric, V. Jagannath, Q. Luo, and D. Marinov, Efficient Mutation Testing of Multithreaded Code. Software Testing, Verification and Reliability, vol.23, pp.375-403, 2013.

A. Peter, D. Buhr, W. H. Dice, and . Hesselink, Dekker's Mutual Exclusion Algorithm Made RW-Safe, Concurrency and Computation: Practice and Experience, vol.28, issue.1, pp.144-165, 2016.

D. Nadezhkin, S. Meijer, T. Stefanov, and E. F. Deprettere, Realizing FIFO Communication When Mapping Kahn Process Networks onto the Cell, Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), International Conference on, pp.308-317, 2009.

M. Fingeroff, High-Level Synthesis Blue Book. Xlibris Corporation, 2010.

M. Geilen and T. Basten, Requirements on the Execution of Kahn Process Networks, pp.319-334, 2003.

L. Mainetti, L. Patrono, and A. Vilei, Evolution of Wireless Sensor Networks Towards the Internet of Things: A Survey, SoftCOM 2011, 19th International Conference on Software, Telecommunications and Computer Networks, pp.1-6, 2011.

D. Blaauw, D. Sylvester, P. Dutta, Y. Lee, I. Lee et al., IoT Design Space Challenges: Circuits and Systems, Symposium on VLSI Technology (VLSI-Technology): Digest of Technical Papers, pp.1-2, 2014.
DOI : 10.1109/vlsit.2014.6894411

URL : http://web.eecs.umich.edu/~prabal/pubs/papers/blaauw14iot.pdf

E. Borgia, The Internet of Things Vision: Key Features, Applications and Open Issues, Computer Communications, 2014.

L. Porras, Principes et Réalisation d'une Interface de Synchronisation Interopérable entre Modèles de Calcul SystemC AMS pour le Prototypage Virtuel Optimisé de Systèmes Multi-Disciplines, 2016.

C. Ben-aoun, Principes et Réalisation d'un Environnement de Prototypage Virtuel de Systèmes Hétérogènes Composables, 2017.

S. Manz, Development and Implementation of a MotionJPEG Capable JPEG Decoder in Hardware, 2008.