A. Bibliographie, S. Mestres, A. Martínez, R. Alarcón, E. Et et al., Multicast on-chip traffic analysis targeting manycore NoC design, 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, pp.370-378, 2015.

A. , A. Charlery, H. Greiner, A. Mortiez, L. Et et al., SPIN : a scalable, packet switched, on-chip micro-network, Design, Automation and Test in Europe Conference and Exhibition, pp.70-73, 2003.
URL : https://hal.archives-ouvertes.fr/hal-01529871

A. Asanovi´c, K. Bodik, R. Catanzaro, B. C. Gebis, J. J. Husbands et al., The landscape of parallel computing research : A view from berkeley, 2006.

A. , V. Domeika, M. Eigenmann, R. Gaertner, G. Jones et al., SPEComp : A new benchmark suite for measuring parallel computer performance, International Workshop on OpenMP Applications and Tools, pp.1-10, 2001.

A. , M. M. Brière, A. Bouyer, M. Denoulet, J. Pêcheux et al., Interfacing SoCLib CABA models with NoCBench for NoC perfomance evaluation, Conference on Design and Architectures for Signal and Image Processing, 2015.

C. Bienia, S. Kumar, J. P. Singh, L. Et, and K. , The PARSEC benchmark suite, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, PACT '08, pp.72-81, 2008.
DOI : 10.1145/1454115.1454128

B. , B. Nezhad-ahmadi, M. R. Fakharzadeh, M. Safavi-naeini, and S. , Millimeter-wave reflective-type phase shifter in CMOS technology, IEEE Microwave and Wireless components letters, vol.19, issue.9, pp.560-562, 2009.

B. , G. Dreslinski, R. G. Mudge, T. Et, F. et al., Evolution of thread-level parallelism in desktop applications, In ACM SIGARCH Computer Architecture News, vol.38, pp.302-313, 2010.

B. , W. Liu, L. Et, R. , and G. , Technologies and building blocks for on-chip optical interconnects, Integrated Optical Interconnect Architectures for Embedded Systems, pp.27-78, 2013.

B. , S. Et, C. , and A. A. , The future of microprocessors, Communications of the ACM, vol.54, issue.5, pp.67-77, 2011.

B. , B. Stackhouse, B. Nassif, N. , Y. et al., The Xeon R Processor E5-2600 v3 : a 22 nm 18-Core Product Family, IEEE Journal of Solid-State Circuits, vol.51, issue.1, pp.92-104, 2016.

B. , A. Denoulet, J. Pinna, A. Granado, B. Pêcheux et al., A Dynamically Reconfigurable RF NoC for Many- Core, Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLSVLSI, pp.139-144, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01579479

C. , M. F. Cong, J. Kaplan, A. Naik, M. Reinman et al., CMP network-on-chip overlaid with multi-band RF-interconnect, IEEE 14th International Symposium on High Performance Computer Architecture, pp.191-202, 2008.

C. , M. F. Roychowdhury, V. Zhang, L. Zhou, S. Wang et al., Multi-I/O and reconfigurable RF/wireless interconnect based on near field capacitive coupling and multiple access techniques, Interconnect Technology Conference, Proceedings of the IEEE International, pp.21-22, 2000.

C. , M. Locatelli, R. Maruccia, G. Pieralisi, L. Et et al., Spidergon : a novel on-chip communication network, System-on-Chip Proceedings. 2004 International Symposium on, p.15, 2004.

D. , P. Chen, J. Zhao, Y. Et, L. et al., A study of a wire?wireless hybrid NoC architecture with an energy-proportional multicast scheme for energy efficiency, Computers & Electrical Engineering, vol.45, pp.402-416, 2015.

D. , W. J. Et, T. , and B. , Route packets, not wires : on-chip interconnection networks, Design Automation Conference Proceedings, pp.684-689, 2001.

D. , B. K. Chen, C. O. Subramanian, S. Kwon, W. Park et al., SCORPIO : A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with innetwork ordering, Computer Architecture (ISCA) 2014 ACM/IEEE 41st International Symposium on, pp.25-36, 2014.

D. Dinechin, B. D. Ayrignac, R. Beaucamps, P. Couvert, P. Ganne et al., A clustered manycore processor architecture for embedded and accelerated applications, 2013 IEEE High Performance Extreme Computing Conference (HPEC), pp.2013-2014, 2013.
DOI : 10.1109/HPEC.2013.6670342

D. , S. Chang, K. Cosic, M. Ganguly, A. Pande et al., CMOS compatible many-core NoC architectures with multi-channel millimeter-wave wireless links, Proceedings of the great lakes symposium on VLSI, pp.165-170, 2012.

D. , X. Wu, X. Sun, G. Xie, Y. Li et al., Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement, Design Automation Conference. DAC, pp.554-559, 2008.

D. , F. Hamieh, M. Zerioul, L. Brière, A. Unlu et al., Flexible Radio Interface for NoC RF-Interconnect, Digital System Design, pp.36-41, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01084993

E. , M. Dragomirescu, D. Et, P. , and R. , An extremely miniaturized ultra wide band 10?67 ghz power splitter in 65 nm cmos technology, Microwave Symposium Digest (MTT), 2012 IEEE MTT-S International, pp.1-3, 2012.

E. , H. Blem, E. Amant, R. S. Sankaralingam, K. Burger et al., Power challenges may end the multicore era, Communications of the ACM, vol.56, issue.2, pp.93-102, 2013.

F. , J. Jairath, S. Loewenstein, P. Sivaramakrishnan, R. Smentek et al., The Oracle Sparc T5 16-core processor scales to eight sockets, IEEE Micro, vol.33, issue.2, pp.48-57, 2013.

F. , R. Brahm, L. Webber, T. Cataldo, R. Poehls et al., OcNoC : Efficient One-Cycle Router Implementation for 3D Mesh Network-on-Chip, VLSI Design (VLSID), 2015 28th International Conference on, pp.105-110, 2015.

F. , E. J. Baumgartner, S. Boerstler, D. Bulzacchelli, J. F. Diemoz et al., The 12-Core POWER8 TM Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking, IEEE Journal of Solid-State Circuits, vol.50, issue.1, pp.10-23, 2015.

G. , C. Hong, C. Yao, K. Et, S. et al., A low-power areaefficient SRAM with enhanced read stability in 0.18-µm CMOS, Circuits and Systems. APCCAS 2008. IEEE Asia Pacific Conference on, pp.729-732, 2008.

G. , J. W. Leonberger, F. J. Kung, S. Et, A. et al., Optical interconnections for VLSI systems, Proceedings of the IEEE, vol.72, issue.7, pp.850-866, 1984.

G. , P. Et, G. , and A. , A generic architecture for on-chip packetswitched interconnections, Proceedings of the conference on Design, automation and test in Europe, pp.250-256, 2000.

H. , M. Ariaudo, M. Quintanel, S. Et, L. et al., Sizing of the Physical Layer of a RF Intra-Chip Communications, Electronics, Circuits and Systems (ICECS), 2014 21th IEEE International Conference on, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01091652

H. , P. Martinez, A. J. Bajwa, A. A. Hill, D. L. Hallnor et al., Haswell : The fourth-generation Intel Core processor, IEEE Micro, vol.34, issue.2, pp.6-20, 2014.

H. , J. Dighe, S. Hoskote, Y. Vangal, S. Finan et al., A 48-core IA-32 messagepassing processor with DVFS in 45nm CMOS, Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp.108-109, 2010.

H. , J. Xu, J. Huang, M. Et, W. et al., A 25-Gbps 8-ps/mm transmission line based interconnect for on-chip communications in multi-core chips, Microwave Symposium Digest (IMS), 2013 IEEE MTT-S International, pp.1-4, 2013.

H. , Q. Liu, P. Huang, M. C. Et, X. et al., Exploiting Transmission Lines on Heterogeneous Networks-on-Chip to Improve the Adaptivity and Efficiency of Cache Coherence, Proceedings of the 9th International Symposium on Networkson-Chip , NOCS '15, 2015.

J. , A. , Y. , H. H. Konigsfeld, K. Milliron et al., Microarchitecture evaluation with floorplanning and interconnect pipelining, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, pp.8-15, 2005.

J. , N. E. Peh, L. Et, L. , and M. , Virtual circuit tree multicasting : A case for on-chip hardware multicast support, Computer Architecture, 35th International Symposium on, ISCA'08, pp.229-240, 2008.

J. , K. Gyungsu, B. Et, C. , and M. , A Low-Overhead and Low-Power RF Transceiver for Short-Distance On-and Off-Chip Interconnects, IEICE transactions on electronics, vol.94, issue.5, pp.854-857, 2011.

K. , A. Mak, T. Dahir, N. Al-dujaily, R. Tong et al., Network-on-Chip Multicast Architectures Using Hybrid Wire and Surface- Wave Interconnects, IEEE Transactions on Emerging Topics in Computing, issue.99, pp.1-12, 2016.

K. , J. Balfour, J. Et, D. , and W. , Flattened butterfly topology for on-chip networks, Microarchitecture, 2007. MICRO 2007. 40th Annual IEEE/ACM International Symposium on, pp.172-182, 2007.

K. , N. Et, M. , and J. F. , A Power-efficient All-optical On-chip Interconnect Using Wavelength-based Oblivious Routing, Proceedings of the Fifteenth Edition of the International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS XV, pp.15-28, 2010.

K. , P. Aingaran, K. Et, O. , and K. , Niagara : a 32-way multithreaded sparc processor, IEEE Micro, vol.25, issue.2, pp.21-29, 2005.

K. , L. Pliva, J. Toifl, T. Schmatz, M. Francese et al., A 110 mW 6 bit 36 GS/s interleaved SAR ADC for 100 GBE occupying 0.048 mm 2 in 32 nm SOI CMOS, Solid-State Circuits Conference (A-SSCC), 2014 IEEE Asian, pp.89-92, 2014.

K. , N. Chowdhury, M. Burton, E. Thomas, T. P. Mozak et al., Haswell : A family of IA 22nm processors, Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International, pp.112-113, 2014.

K. , G. Miller, J. Psota, J. Eastep, J. Liu et al., ATAC : A 1000-core cache-coherent processor with onchip optical network, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, pp.477-488, 2010.

L. Beux, S. Li, H. O-'connor, I. Cheshmi, K. Liu et al., Chameleon : Channel efficient optical network-on-chip, Design, Automation and Test in Europe Conference and Exhibition (DATE), pp.1-6, 2014.
DOI : 10.7873/date.2014.317

URL : https://hal.archives-ouvertes.fr/hal-01075358

L. , J. Zhu, M. Choi, K. Ahn, J. H. Et et al., 3D network-on-chip with wireless links through inductive coupling, SoC Design Conference (ISOCC), 2011 International, pp.353-356, 2011.

L. , S. Tam, S. Pefkianakis, I. Lu, S. Chang et al., A scalable micro wireless interconnect structure for CMPs, Proceedings of the 15th annual international conference on Mobile computing and networking, pp.217-228, 2009.

L. , Y. Waterman, A. Avizienis, R. Cook, H. Sun et al., A 45nm 1.3 ghz 16.7 double-precision gflops/w risc-v processor with vector accelerators, European Solid State Circuits Conference (ESSCIRC), pp.2014-2054, 2014.

L. , F. Nicopoulos, C. Richardson, T. Xie, Y. Narayanan et al., Design and management of 3D chip multiprocessors using networkin-memory, ACM SIGARCH Computer Architecture News, vol.34, issue.2, pp.130-141, 2006.

M. , R. Cidon, I. Kolodny, and A. , Best of both worlds : A bus enhanced NoC (BENoC) In Networks-on-Chip, IEEE International Symposium on, pp.173-182, 2009.

M. , N. Shamim, M. S. Et, G. , and A. , A Demand-Aware Predictive Dynamic Bandwidth Allocation Mechanism for Wireless Network-on-Chip, Proceedings of the 18th System Level Interconnect Prediction Workshop, SLIP '16, pp.1-8, 2016.

M. , H. Bogdan, P. Marculescu, R. Take, Y. Sasaki et al., A case for wireless 3d nocs for cmps, Design Automation Conference, 18th Asia and South Pacific, ASP-DAC, pp.23-28, 2013.

M. , D. Benini, L. Flamand, E. Jego, B. Lepley et al., Platform 2012, a many-core computing accelerator for embedded SoCs : performance evaluation of visual analytics applications, Proceedings of the 49th Annual Design Automation Conference, pp.1137-1142, 2012.

M. , P. Franchetti, F. Hoe, J. C. Et, P. et al., Computer generation of hardware for linear digital signal processing transforms, ACM Transactions on Design Automation of Electronic Systems (TODAES), vol.17, issue.2, p.15, 2012.

M. , I. Clermidy, F. Vivet, P. Et, G. et al., Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture, Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip, pp.139-148, 2008.
URL : https://hal.archives-ouvertes.fr/hal-01299217

M. , I. Greiner, A. Et, S. , and A. , A Low Cost Networkon-Chip with Guaranteed Service Well Suited to the GALS Approach, 1st International Conference on Nano-Networks and Workshops, pp.1-5, 2006.

M. , A. K. Mutlu, O. Et, D. , and C. R. , A heterogeneous multiple networkon-chip design : an application-aware approach, Proceedings of the 50th Annual Design Automation Conference, p.36, 2013.

M. , A. K. Vijaykrishnan, N. Et, D. , and C. R. , A case for heterogeneous on-chip interconnects for CMPs, In ACM SIGARCH Computer Architecture News, vol.39, pp.389-400, 2011.

M. , A. Et, T. , and B. , Simulation based study of on-chip antennas for a reconfigurable hybrid 3D wireless NoC, SOC Conference (SOCC), pp.447-452, 2010.

M. , R. Jolley, E. Et, K. , and A. K. , Extending the performance and energy-efficiency of shared memory multicores with nanophotonic technology, IEEE Transactions on Parallel and Distributed Systems, vol.25, issue.1, pp.83-92, 2014.

M. , M. S. Baron, J. Brantley, W. C. Feng, H. Hackenberg et al., SPEC OMP2012 -an application benchmark suite for parallel systems using OpenMP, International Workshop on OpenMP, pp.223-236, 2012.

N. , A. Kurd, N. Deval, A. Mozak, C. Douglas et al., Broadwell : A family of IA 14nm processors, VLSI Circuits (VLSI Circuits), 2015 Symposium on, pp.314-315, 2015.

N. , A. Hu, K. Catli, B. Cui, D. Singh et al., A 36gb/s pam4 transmitter using an 8b 18gs/s dac in 28nm cmos, 2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of Technical Papers, pp.1-3, 2015.

O. , I. Et, G. , and F. , On-chip optical interconnect for low-power, Ultra Low-Power Electronics and Design, pp.21-39, 2004.

P. , Y. Kumar, P. Kim, J. Memik, G. Zhang et al., Firefly : illuminating future network-on-chip with nanophotonics, ACM SIGARCH Computer Architecture News, vol.37, issue.3, pp.429-440, 2009.

P. , G. Katevenis, M. Et, P. , and D. , Crossbar NoCs are scalable beyond 100 nodes, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.31, issue.4, pp.573-585, 2012.

P. , V. Et, F. , and E. , 3D topologies for networks-on-chip. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.15, issue.10, pp.1081-1090, 2007.

P. , E. Lehtonen, L. Salminen, E. Et, H. et al., A set of traffic models for Network-on-Chip benchmarking, System on Chip (SoC), 2011 International Symposium on, pp.78-81, 2011.

R. , P. G. Petrini, F. Walkup, R. Et, C. et al., Characterization of the communication patterns of scientific applications on Blue Gene, Parallel and Distributed Processing Workshops and Phd Forum (IPDPSW), 2011 IEEE International Symposium on, pp.1017-1024, 2011.

R. , S. Tam, S. Muljono, H. Ayers, D. Chang et al., A 65-nm dual-core multithreaded Xeon R processor with 16-MB L3 cache, IEEE Journal of Solid-State Circuits, vol.42, issue.1, pp.17-25, 2007.

S. , P. Jain, S. Jacob, T. Kumar, S. Erraguntla et al., A 2 Tb/s 6 × 4 Mesh Network for a Single-Chip Cloud Computer with DVFS in 45 nm CMOS. Solid-State Circuits, IEEE Journal, vol.46, issue.4, pp.757-766, 2011.

S. , M. Golla, R. Grohoski, G. Jordan, P. Barreh et al., Sparc T4 : A dynamically threaded server-on-a-chip, IEEE Micro, vol.2, issue.32, pp.8-19, 2012.

S. , M. Lipsett, R. Marschner, E. Sheehan, K. Et et al., VHSIC Hardware Description Language, Computer, vol.18, issue.2, pp.94-103, 1985.

S. , W. Stuecheli, J. Daly, D. Dodson, J. Auernhammer et al., The cache and memory subsystems of the IBM POWER8 processor, IBM Journal of Research and Development, vol.59, issue.1, pp.3-4, 2015.

T. , M. B. Kim, J. Miller, J. Wentzlaff, D. Ghodrat et al., The raw microprocessor : A computational fabric for software circuits and general-purpose programs, IEEE micro, vol.22, issue.2, pp.25-35, 2002.

T. , J. M. Dodson, J. S. Fields, J. Le, H. Et et al., POWER4 system microarchitecture, IBM Journal of Research and Development, vol.46, issue.1, pp.5-25, 2002.

T. , D. E. Et, M. , and P. R. , The VERILOG Hardware Description Language, 1991.

T. , Y. Vivet, P. Et, C. , and F. , A fully-asynchronous low-power framework for GALS NoC integration, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.33-38, 2010.

V. , D. Binkert, N. Schreiber, R. Et, L. et al., Light speed arbitration and flow control for nanophotonic interconnects, Microarchitecture, 2009. MICRO-42. 42nd Annual IEEE/ACM International Symposium on, pp.304-315, 2009.

. Corona, System implications of emerging nanophotonic technology, ACM SI- GARCH Computer Architecture News, vol.36, issue.3, pp.153-164

W. , Y. An, H. Yan, J. Li, Q. Han et al., Investigation of factors impacting thread-level parallelism from desktop, multimedia and HPC applications, Frontier of Computer Science and Technology FCST´09FCST´ FCST´09. Fourth International Conference on, pp.27-32, 2009.

W. , D. Griffin, P. Hoffmann, H. Bao, L. Edwards et al., On-chip interconnection architecture of the tile processor, IEEE Micro, vol.27, issue.5, pp.15-31, 2007.

S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, G. Et et al., The SPLASH- 2 programs : Characterization and methodological considerations, ACM SI- GARCH Computer Architecture News, pp.24-36, 1995.
DOI : 10.1109/isca.1995.524546

URL : http://ieeexplore.ieee.org/iel3/4007/11522/00524546.pdf

W. , H. Nan, L. Tam, S. Hsieh, H. Jou et al., A 60GHz on-chip RF-Interconnect with ?/4 coupler for 5Gbps bidirectional communication and multi-drop arbitration, Custom Integrated Circuits Conference (CICC), pp.2012-2013, 2012.

X. , C. Chang, F. Cong, J. Gill, M. Huang et al., Stream arbitration : Towards efficient bandwidth utilization for emerging on-chip interconnects, ACM Transactions on Architecture and Code Optimization (TACO), vol.9, issue.4, pp.639-648, 2013.

X. , Y. Loh, G. H. Black, B. Bernstein, and K. , Design space exploration for 3D architectures, ACM Journal on Emerging Technologies in Computing Systems (JETC), vol.2, issue.2, pp.65-103, 2006.

Y. , Y. Xu, J. Huang, B. Wu, X. Zhang et al., 3-D mesh-based optical network-on-chip for multiprocessor system-on-chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.32, issue.4, pp.584-596, 2013.