Multicast on-chip traffic analysis targeting manycore NoC design, 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, pp.370-378, 2015. ,
SPIN : a scalable, packet switched, on-chip micro-network, Design, Automation and Test in Europe Conference and Exhibition, pp.70-73, 2003. ,
URL : https://hal.archives-ouvertes.fr/hal-01529871
The landscape of parallel computing research : A view from berkeley, 2006. ,
SPEComp : A new benchmark suite for measuring parallel computer performance, International Workshop on OpenMP Applications and Tools, pp.1-10, 2001. ,
Interfacing SoCLib CABA models with NoCBench for NoC perfomance evaluation, Conference on Design and Architectures for Signal and Image Processing, 2015. ,
The PARSEC benchmark suite, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, PACT '08, pp.72-81, 2008. ,
DOI : 10.1145/1454115.1454128
Millimeter-wave reflective-type phase shifter in CMOS technology, IEEE Microwave and Wireless components letters, vol.19, issue.9, pp.560-562, 2009. ,
Evolution of thread-level parallelism in desktop applications, In ACM SIGARCH Computer Architecture News, vol.38, pp.302-313, 2010. ,
Technologies and building blocks for on-chip optical interconnects, Integrated Optical Interconnect Architectures for Embedded Systems, pp.27-78, 2013. ,
The future of microprocessors, Communications of the ACM, vol.54, issue.5, pp.67-77, 2011. ,
The Xeon R Processor E5-2600 v3 : a 22 nm 18-Core Product Family, IEEE Journal of Solid-State Circuits, vol.51, issue.1, pp.92-104, 2016. ,
A Dynamically Reconfigurable RF NoC for Many- Core, Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLSVLSI, pp.139-144, 2015. ,
URL : https://hal.archives-ouvertes.fr/hal-01579479
CMP network-on-chip overlaid with multi-band RF-interconnect, IEEE 14th International Symposium on High Performance Computer Architecture, pp.191-202, 2008. ,
Multi-I/O and reconfigurable RF/wireless interconnect based on near field capacitive coupling and multiple access techniques, Interconnect Technology Conference, Proceedings of the IEEE International, pp.21-22, 2000. ,
Spidergon : a novel on-chip communication network, System-on-Chip Proceedings. 2004 International Symposium on, p.15, 2004. ,
A study of a wire?wireless hybrid NoC architecture with an energy-proportional multicast scheme for energy efficiency, Computers & Electrical Engineering, vol.45, pp.402-416, 2015. ,
Route packets, not wires : on-chip interconnection networks, Design Automation Conference Proceedings, pp.684-689, 2001. ,
SCORPIO : A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with innetwork ordering, Computer Architecture (ISCA) 2014 ACM/IEEE 41st International Symposium on, pp.25-36, 2014. ,
A clustered manycore processor architecture for embedded and accelerated applications, 2013 IEEE High Performance Extreme Computing Conference (HPEC), pp.2013-2014, 2013. ,
DOI : 10.1109/HPEC.2013.6670342
CMOS compatible many-core NoC architectures with multi-channel millimeter-wave wireless links, Proceedings of the great lakes symposium on VLSI, pp.165-170, 2012. ,
Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement, Design Automation Conference. DAC, pp.554-559, 2008. ,
Flexible Radio Interface for NoC RF-Interconnect, Digital System Design, pp.36-41, 2014. ,
URL : https://hal.archives-ouvertes.fr/hal-01084993
An extremely miniaturized ultra wide band 10?67 ghz power splitter in 65 nm cmos technology, Microwave Symposium Digest (MTT), 2012 IEEE MTT-S International, pp.1-3, 2012. ,
Power challenges may end the multicore era, Communications of the ACM, vol.56, issue.2, pp.93-102, 2013. ,
The Oracle Sparc T5 16-core processor scales to eight sockets, IEEE Micro, vol.33, issue.2, pp.48-57, 2013. ,
OcNoC : Efficient One-Cycle Router Implementation for 3D Mesh Network-on-Chip, VLSI Design (VLSID), 2015 28th International Conference on, pp.105-110, 2015. ,
The 12-Core POWER8 TM Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking, IEEE Journal of Solid-State Circuits, vol.50, issue.1, pp.10-23, 2015. ,
A low-power areaefficient SRAM with enhanced read stability in 0.18-µm CMOS, Circuits and Systems. APCCAS 2008. IEEE Asia Pacific Conference on, pp.729-732, 2008. ,
Optical interconnections for VLSI systems, Proceedings of the IEEE, vol.72, issue.7, pp.850-866, 1984. ,
A generic architecture for on-chip packetswitched interconnections, Proceedings of the conference on Design, automation and test in Europe, pp.250-256, 2000. ,
Sizing of the Physical Layer of a RF Intra-Chip Communications, Electronics, Circuits and Systems (ICECS), 2014 21th IEEE International Conference on, 2014. ,
URL : https://hal.archives-ouvertes.fr/hal-01091652
Haswell : The fourth-generation Intel Core processor, IEEE Micro, vol.34, issue.2, pp.6-20, 2014. ,
A 48-core IA-32 messagepassing processor with DVFS in 45nm CMOS, Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp.108-109, 2010. ,
A 25-Gbps 8-ps/mm transmission line based interconnect for on-chip communications in multi-core chips, Microwave Symposium Digest (IMS), 2013 IEEE MTT-S International, pp.1-4, 2013. ,
Exploiting Transmission Lines on Heterogeneous Networks-on-Chip to Improve the Adaptivity and Efficiency of Cache Coherence, Proceedings of the 9th International Symposium on Networkson-Chip , NOCS '15, 2015. ,
Microarchitecture evaluation with floorplanning and interconnect pipelining, Proceedings of the 2005 Asia and South Pacific Design Automation Conference, pp.8-15, 2005. ,
Virtual circuit tree multicasting : A case for on-chip hardware multicast support, Computer Architecture, 35th International Symposium on, ISCA'08, pp.229-240, 2008. ,
A Low-Overhead and Low-Power RF Transceiver for Short-Distance On-and Off-Chip Interconnects, IEICE transactions on electronics, vol.94, issue.5, pp.854-857, 2011. ,
Network-on-Chip Multicast Architectures Using Hybrid Wire and Surface- Wave Interconnects, IEEE Transactions on Emerging Topics in Computing, issue.99, pp.1-12, 2016. ,
Flattened butterfly topology for on-chip networks, Microarchitecture, 2007. MICRO 2007. 40th Annual IEEE/ACM International Symposium on, pp.172-182, 2007. ,
A Power-efficient All-optical On-chip Interconnect Using Wavelength-based Oblivious Routing, Proceedings of the Fifteenth Edition of the International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS XV, pp.15-28, 2010. ,
Niagara : a 32-way multithreaded sparc processor, IEEE Micro, vol.25, issue.2, pp.21-29, 2005. ,
A 110 mW 6 bit 36 GS/s interleaved SAR ADC for 100 GBE occupying 0.048 mm 2 in 32 nm SOI CMOS, Solid-State Circuits Conference (A-SSCC), 2014 IEEE Asian, pp.89-92, 2014. ,
Haswell : A family of IA 22nm processors, Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International, pp.112-113, 2014. ,
ATAC : A 1000-core cache-coherent processor with onchip optical network, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, pp.477-488, 2010. ,
Chameleon : Channel efficient optical network-on-chip, Design, Automation and Test in Europe Conference and Exhibition (DATE), pp.1-6, 2014. ,
DOI : 10.7873/date.2014.317
URL : https://hal.archives-ouvertes.fr/hal-01075358
3D network-on-chip with wireless links through inductive coupling, SoC Design Conference (ISOCC), 2011 International, pp.353-356, 2011. ,
A scalable micro wireless interconnect structure for CMPs, Proceedings of the 15th annual international conference on Mobile computing and networking, pp.217-228, 2009. ,
A 45nm 1.3 ghz 16.7 double-precision gflops/w risc-v processor with vector accelerators, European Solid State Circuits Conference (ESSCIRC), pp.2014-2054, 2014. ,
Design and management of 3D chip multiprocessors using networkin-memory, ACM SIGARCH Computer Architecture News, vol.34, issue.2, pp.130-141, 2006. ,
Best of both worlds : A bus enhanced NoC (BENoC) In Networks-on-Chip, IEEE International Symposium on, pp.173-182, 2009. ,
A Demand-Aware Predictive Dynamic Bandwidth Allocation Mechanism for Wireless Network-on-Chip, Proceedings of the 18th System Level Interconnect Prediction Workshop, SLIP '16, pp.1-8, 2016. ,
A case for wireless 3d nocs for cmps, Design Automation Conference, 18th Asia and South Pacific, ASP-DAC, pp.23-28, 2013. ,
Platform 2012, a many-core computing accelerator for embedded SoCs : performance evaluation of visual analytics applications, Proceedings of the 49th Annual Design Automation Conference, pp.1137-1142, 2012. ,
Computer generation of hardware for linear digital signal processing transforms, ACM Transactions on Design Automation of Electronic Systems (TODAES), vol.17, issue.2, p.15, 2012. ,
Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture, Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip, pp.139-148, 2008. ,
URL : https://hal.archives-ouvertes.fr/hal-01299217
A Low Cost Networkon-Chip with Guaranteed Service Well Suited to the GALS Approach, 1st International Conference on Nano-Networks and Workshops, pp.1-5, 2006. ,
A heterogeneous multiple networkon-chip design : an application-aware approach, Proceedings of the 50th Annual Design Automation Conference, p.36, 2013. ,
A case for heterogeneous on-chip interconnects for CMPs, In ACM SIGARCH Computer Architecture News, vol.39, pp.389-400, 2011. ,
Simulation based study of on-chip antennas for a reconfigurable hybrid 3D wireless NoC, SOC Conference (SOCC), pp.447-452, 2010. ,
Extending the performance and energy-efficiency of shared memory multicores with nanophotonic technology, IEEE Transactions on Parallel and Distributed Systems, vol.25, issue.1, pp.83-92, 2014. ,
SPEC OMP2012 -an application benchmark suite for parallel systems using OpenMP, International Workshop on OpenMP, pp.223-236, 2012. ,
Broadwell : A family of IA 14nm processors, VLSI Circuits (VLSI Circuits), 2015 Symposium on, pp.314-315, 2015. ,
A 36gb/s pam4 transmitter using an 8b 18gs/s dac in 28nm cmos, 2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of Technical Papers, pp.1-3, 2015. ,
On-chip optical interconnect for low-power, Ultra Low-Power Electronics and Design, pp.21-39, 2004. ,
Firefly : illuminating future network-on-chip with nanophotonics, ACM SIGARCH Computer Architecture News, vol.37, issue.3, pp.429-440, 2009. ,
Crossbar NoCs are scalable beyond 100 nodes, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.31, issue.4, pp.573-585, 2012. ,
3D topologies for networks-on-chip. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.15, issue.10, pp.1081-1090, 2007. ,
A set of traffic models for Network-on-Chip benchmarking, System on Chip (SoC), 2011 International Symposium on, pp.78-81, 2011. ,
Characterization of the communication patterns of scientific applications on Blue Gene, Parallel and Distributed Processing Workshops and Phd Forum (IPDPSW), 2011 IEEE International Symposium on, pp.1017-1024, 2011. ,
A 65-nm dual-core multithreaded Xeon R processor with 16-MB L3 cache, IEEE Journal of Solid-State Circuits, vol.42, issue.1, pp.17-25, 2007. ,
A 2 Tb/s 6 × 4 Mesh Network for a Single-Chip Cloud Computer with DVFS in 45 nm CMOS. Solid-State Circuits, IEEE Journal, vol.46, issue.4, pp.757-766, 2011. ,
Sparc T4 : A dynamically threaded server-on-a-chip, IEEE Micro, vol.2, issue.32, pp.8-19, 2012. ,
VHSIC Hardware Description Language, Computer, vol.18, issue.2, pp.94-103, 1985. ,
The cache and memory subsystems of the IBM POWER8 processor, IBM Journal of Research and Development, vol.59, issue.1, pp.3-4, 2015. ,
The raw microprocessor : A computational fabric for software circuits and general-purpose programs, IEEE micro, vol.22, issue.2, pp.25-35, 2002. ,
POWER4 system microarchitecture, IBM Journal of Research and Development, vol.46, issue.1, pp.5-25, 2002. ,
The VERILOG Hardware Description Language, 1991. ,
A fully-asynchronous low-power framework for GALS NoC integration, Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.33-38, 2010. ,
Light speed arbitration and flow control for nanophotonic interconnects, Microarchitecture, 2009. MICRO-42. 42nd Annual IEEE/ACM International Symposium on, pp.304-315, 2009. ,
System implications of emerging nanophotonic technology, ACM SI- GARCH Computer Architecture News, vol.36, issue.3, pp.153-164 ,
Investigation of factors impacting thread-level parallelism from desktop, multimedia and HPC applications, Frontier of Computer Science and Technology FCST´09FCST´ FCST´09. Fourth International Conference on, pp.27-32, 2009. ,
On-chip interconnection architecture of the tile processor, IEEE Micro, vol.27, issue.5, pp.15-31, 2007. ,
The SPLASH- 2 programs : Characterization and methodological considerations, ACM SI- GARCH Computer Architecture News, pp.24-36, 1995. ,
DOI : 10.1109/isca.1995.524546
URL : http://ieeexplore.ieee.org/iel3/4007/11522/00524546.pdf
A 60GHz on-chip RF-Interconnect with ?/4 coupler for 5Gbps bidirectional communication and multi-drop arbitration, Custom Integrated Circuits Conference (CICC), pp.2012-2013, 2012. ,
Stream arbitration : Towards efficient bandwidth utilization for emerging on-chip interconnects, ACM Transactions on Architecture and Code Optimization (TACO), vol.9, issue.4, pp.639-648, 2013. ,
Design space exploration for 3D architectures, ACM Journal on Emerging Technologies in Computing Systems (JETC), vol.2, issue.2, pp.65-103, 2006. ,
3-D mesh-based optical network-on-chip for multiprocessor system-on-chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.32, issue.4, pp.584-596, 2013. ,