V. Vargas, P. Ramos, V. Ray, C. Jalier, R. Stevens et al., Radiation Experiments on a 28 nm Single-Chip Many-Core Processor and SEU Error-Rate Prediction, ANNEXES B Publications during this thesis Journals 1, pp.483-490, 2017.
DOI : 10.1109/TNS.2016.2638081

URL : https://hal.archives-ouvertes.fr/hal-01459823

P. Ramos, V. Vargas, M. Baylac, F. Villa, S. Rey et al., Evaluating the SEE Sensitivity of a 45 nm SOI Multi-Core Processor Due to 14 MeV Neutrons, IEEE Transactions on Nuclear Science, vol.63, issue.4, pp.2193-2200, 2016.
DOI : 10.1109/TNS.2016.2537643

URL : https://hal.archives-ouvertes.fr/hal-01280648

J. A. Clemente, F. Franco, F. Villa, M. Baylac, P. Ramos et al., Single Events in a COTS Soft-Error Free SRAM at Low Bias Voltage Induced by 15-MeV Neutrons, IEEE Transactions on Nuclear Science, vol.63, issue.4, pp.2072-2079, 2016.
DOI : 10.1109/TNS.2016.2522819

URL : https://hal.archives-ouvertes.fr/in2p3-01391224

V. Vargas, P. Ramos, V. Ray, C. Jalier, D. De-dinechin et al., First Results of Radiation Experiments on a 28nm Single-Chip Many-core Processor, IEEE 53rd Nuclear and Space Radiation Effects Conference (NSREC), 2016.

V. Vargas, P. Ramos, R. Velazco, J. F. Méhaut, and N. E. Zergainoh, Evaluating SEU fault-injection on parallel applications implemented on multi-core processors, Proc. 6th Latin American Symposium on Circuits & Systems (LASCAS), 2015.
DOI : 10.1109/lascas.2015.7250449

P. Ramos, V. Vargas, M. Baylac, F. Villa, S. Rey et al., Sensitivity to Neutron Radiation of a 45 nm SOI Multi-Core Processor, 2015 15th European Conference on Radiation and Its Effects on Components and Systems (RADECS), pp.135-138, 2015.
DOI : 10.1109/RADECS.2015.7365665

URL : https://hal.archives-ouvertes.fr/in2p3-01192594

J. A. Clemente, F. Franco, F. Villa, M. Baylac, P. Ramos et al., Neutron-Induced Single Events in a COTS Soft- Error Free SRAM at Low Bias Voltage, Proceedings of Radiation and its Effects on Components and Systems (RADECS), pp.162-165, 2015.

V. Vargas, P. Ramos, W. Mansour, R. Velazco, N. E. Zergainoh et al., Preliminary results of SEU fault-injection on multicore processors in AMP mode, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS), pp.194-197, 2014.
DOI : 10.1109/IOLTS.2014.6873694

URL : https://hal.archives-ouvertes.fr/hal-01413190

. L. Bibliography-[-abcc07-]-d, R. E. Applegate, V. Bixby, W. J. Chvatal, and . Cook, The Traveling Salesman Problem: A Computational Study, pp.49-53, 2007.

. S. Aml-+-15-]-m, P. Alhakeem, R. Munk, H. Lisicki, H. Parzyjegla et al., A framework for adaptive software-based reliability in cots many-core processors, ARCS 2015 -The 28th International Conference on Architecture of Computing Systems. Proceedings, pp.1-4, 2015.

P. [. Autran, P. Munteanu, G. Roche, and . Gasiot, Real-time soft-error rate measurements: A review, Microelectronics Reliability, vol.54, issue.8, pp.1455-1476, 2014.
DOI : 10.1016/j.microrel.2014.02.031

URL : https://hal.archives-ouvertes.fr/hal-01430077

S. [. Asadi, M. B. Vilas, D. Tahoori, and . Kaeli, Balancing Performance and Reliability in the Memory Hierarchy, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005., pp.269-279, 2005.
DOI : 10.1109/ISPASS.2005.1430581

C. [. Basile, R. K. Kalbarczyk, and . Iyer, Active replication of multithreaded applications, IEEE Transactions on Parallel and Distributed Systems, vol.17, issue.5, pp.448-465, 2006.
DOI : 10.1109/TPDS.2006.56

B. Bolchini, A. Miele, and D. Sciuto, An adaptive approach for online fault management in many-core architectures, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1429-1432, 2012.
DOI : 10.1109/DATE.2012.6176589

. A. Cfv-+-15-]-j, F. J. Clemente, F. Franco, M. Villa, P. Baylac et al., Neutron-Induced Single Events in a COTS Soft-Error Free SRAM at Low Bias Voltage, Proceedings of IEEE European Conferences on Radiation Effects on Components and Systems (RADECS2015), pp.162-165, 2015.

. A. Cfv-+-16-]-j, F. J. Clemente, F. Franco, M. Villa, P. Baylac et al., Single Events in a COTS Soft- Error Free SRAM at Low Bias Voltage Induced by 15-MeV Neutrons

B. D. De-dinechin, R. Ayrignac, P. E. Beaucamps, P. Couvert, B. Ganne et al., A clustered manycore processor architecture for embedded and accelerated applications, 2013 IEEE High Performance Extreme Computing Conference (HPEC), pp.1-6, 2013.
DOI : 10.1109/HPEC.2013.6670342

L. [. Dodd and . Massengill, Basic mechanisms and modeling of single-event upset in digital microelectronics, IEEE Transactions on Nuclear Science, vol.50, issue.3, pp.583-602, 2003.
DOI : 10.1109/TNS.2003.813129

]. B. Dot and . Dotson, How particle accelerators work Online: https://energy.gov/articles/how-particle-accelerators-work

. Dwvvl-+-13-]-n, R. De-witte, S. Vincke, E. Van-landschoot, J. Steegmans et al., Comparing Dual-Core SMP/AMP Performance on a Telecom Architecture, Journal of Electronics, vol.7, pp.72-75, 2013.

K. Eri17-]-erickson, What is a laser Online: http://spaceplace.nasa.gov/laser, 2017.

. Fcp-+-15-]-e, M. Francesquini, P. Castro, F. Penna, H. Dupros et al., On the energy efficiency and performance of irregular application executions on multicore, NUMA and manycore platforms, Journal of Parallel and Distributed Computing, vol.76, pp.32-48, 2015.

. Freescale, Running AMP, SMP or BMP Mode for Multicore Embedded Systems, 2012.

. A. Fvm-+-07-]-p, G. Ferreyra, C. A. Viganotti, R. Marques, R. T. Velazco et al., Failure and Coverage Factors Based Markoff Models: A New Approach for Improving the Dependability Estimation in Complex Fault Tolerant Systems Exposed to SEUs, IEEE Trans. Nucl. Sci, vol.54, issue.4, pp.912-919, 2007.

B. Fu, W. Zhang, T. Li, and J. Fortes, Optimizing Issue Queue Reliability to Soft Errors on Simultaneous Multithreaded Architectures, 2008 37th International Conference on Parallel Processing, pp.190-197, 2008.
DOI : 10.1109/ICPP.2008.23

URL : http://rsim.cs.illinois.edu/%7Exfu/publications/ICPP08-xin-fu.pdf

]. R. Gai11 and . Gaillard, Single Event Effects: Mechanisms and Classification, pp.27-54, 2011.

G. Gasiot, V. Ferlet-cavrois, J. Baggio, P. Roche, P. Flatresse et al., SEU sensitivity of bulk and SOE technologies to 14-MeV neutrons, IEEE Transactions on Nuclear Science, vol.49, issue.6, pp.3032-3037, 2002.
DOI : 10.1109/TNS.2002.805395

M. Gizopoulos, S. V. Psarakis, P. Adve, S. K. Ramachandran, D. Sastry et al., Architectures for online error detection and recovery in multicore processors, 2011 Design, Automation & Test in Europe, 2011.
DOI : 10.1109/DATE.2011.5763096

]. S. Gue12 and . Guertin, Initial SEE Test of Maestro, 2012.

K. [. Hyman, N. Bhattacharya, and . Ranganathan, Redundancy Mining for Soft Error Detection in Multicore Processors, IEEE Transactions on Computers, vol.60, issue.8, pp.1114-1125, 2011.
DOI : 10.1109/TC.2010.168

]. A. Hri-+-16, T. Holler, J. Rauter, G. F. Iber, C. J. Macher et al., Software- Based Fault Recovery via Adaptive Diversity for Reliable COTS Multi-Core Processors, pp.1-6

M. Hsueh, T. K. Tsai, and R. K. Iyer, Fault injection techniques and tools, 2012 IEEE/AIAA 31st Digital Avionics Systems Conference (DASC), pp.75-82, 1997.
DOI : 10.1109/2.585157

]. K. Ini11 and . Iniewski, Radiation Effects in Semiconductors, 2011.

J. T. Jarboui, Y. Arlat, K. Crouzet, T. Kanoun, and . Marteau, Analysis of the effects of real and injected software faults: Linux as a case study, 2002 Pacific Rim International Symposium on Dependable Computing, 2002. Proceedings., pp.51-58, 2002.
DOI : 10.1109/PRDC.2002.1185618

D. X. Jean, M. Faura, L. Gatti, T. Pautet, and . Robert, Ensuring robust partitioning in multicore platforms for IMA systems, 2012 IEEE/AIAA 31st Digital Avionics Systems Conference (DASC), pp.7-11, 2012.
DOI : 10.1109/DASC.2012.6382408

. [. Jacques-silva, R. K. Kalbarczyk, and . Iyer, Dependability Assessment of Operating Systems in Multi-core Architectures, Proc. Int. Symp. on Dependable Systems and Networks, 2008.

G. [. Kraja and . Acher, Using many-core processors to improve the performance of space computing platforms, 2011 Aerospace Conference, pp.1-17, 2011.
DOI : 10.1109/AERO.2011.5747445

]. S. Bibliography-[-kal16-]-kalraykim09 and . Kim, MPPA-256 Bostan Cluster and I/O Subsystem Architecture Reducing area overhead for error-protecting large l2/l3 caches, IEEE Transactions on Computers, vol.58, issue.3, pp.300-310, 2009.

G. [. Kooli and . Natale, A survey on simulation-based fault injection tools for complex systems, 2014 9th IEEE International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), pp.1-6, 2014.
DOI : 10.1109/DTIS.2014.6850649

S. [. Koren and . Su, Reliability analysis of n-modular redundancy systems with intermittent and permanen faults, IEEE Transactions on Computers, issue.7, pp.28514-520, 1979.

A. [. Kim and . Somani, Area efficient architectures for information integrity in cache memories, Proceedings of the 26th International Symposium on Computer Architecture (Cat. No.99CB36367), pp.246-255, 1999.
DOI : 10.1145/307338.301000

N. [. Kim and . Shanbhag, Soft N-Modular Redundancy, IEEE Transactions on Computers, vol.61, issue.3, pp.323-336, 2012.
DOI : 10.1109/TC.2010.253

. Ksd-+-97-]-p, J. Koopman, C. Sung, D. Dingman, T. Siewiorek et al., Comparing operating systems using robustness benchmarks, Proc. Reliable Distributed Systems, 1997.

S. [. Löfwenmark and . Nadjm-tehrani, Challenges in Future Avionic Systems on Multi-Core Platforms, 2014 IEEE International Symposium on Software Reliability Engineering Workshops, pp.115-119, 2014.
DOI : 10.1109/ISSREW.2014.70

]. A. Lpc-+-12, A. Lanzaro, M. Pecchia, D. Cinque, R. Cotroneo et al., A Preliminary Fault Injection Framework for Evaluating Multicore Systems, pp.106-116, 2012.

]. K. Lsi-+-06, A. Lee, I. Shrivastava, N. Issenin, N. Dutt et al., Mitigating soft error failures for multimedia applications by selective BIBLIOGRAPHY data protection The use of triple modular redundancy to improve computer reliability, Proceedings of the 2006 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, CASES '06, pp.411-420200, 1962.

Z. [. Mushtaq, K. Al-ars, and . Bertels, Efficient Software-Based Fault Tolerance Approach on Multicore Platforms, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.921-926, 2013.
DOI : 10.7873/DATE.2013.194

. Scoredos, Mixed-criticality real-time scheduling for multicore systems, 10th IEEE International Conference on Computer and Information Technology, pp.1864-1871, 2010.

M. [. Maurer, M. Fraeman, D. Martin, and . Roth, Harsh Environments: Space Radiation Environment, Effects and Mitigation, John Hopkins APL Technical Digest, vol.28, issue.1, pp.17-29, 2008.

M. [. Memik, O. Kandemir, and . Ozturk, Increasing Register File Immunity to Transient Errors, Design, Automation and Test in Europe, pp.586-591, 2005.
DOI : 10.1109/DATE.2005.181

URL : https://hal.archives-ouvertes.fr/hal-00181575

M. [. Mukherjee, S. K. Kontz, and . Reinhardt, Detailed design and evaluation of redundant multi-threading alternatives, Proceedings 29th Annual International Symposium on Computer Architecture, pp.99-110, 2002.
DOI : 10.1109/ISCA.2002.1003566

M. [. Maniatakos, Y. Michael, and . Makris, Vulnerability-based Interleaving for Multi-Bit Upset (MBU) protection in modern microprocessors, 2012 IEEE International Test Conference, pp.1-8, 2012.
DOI : 10.1109/TEST.2012.6401594

P. [. Mansour, R. Ramos, R. Ayoubi, and . Velazco, SEU fault-injection at system level: Method, tools and preliminary results, 2014 15th Latin American Test Workshop, LATW, 2014.
DOI : 10.1109/LATW.2014.6841907

URL : https://hal.archives-ouvertes.fr/hal-01061310

B. Madeira, R. R. Some, F. Moreira, D. Costa, and D. Rennels, Experimental evaluation of a COTS system for space applications, Proceedings International Conference on Dependable Systems and Networks, pp.325-330, 2002.
DOI : 10.1109/DSN.2002.1028916

J. [. Mittal and . Vetter, A Survey of Techniques for Modeling and Improving Reliability of Computing Systems, IEEE Transactions on Parallel and Distributed Systems, vol.27, issue.4, pp.1226-1238, 2016.
DOI : 10.1109/TPDS.2015.2426179

M. [. May and . Woods, Alpha-particle-induced soft errors in dynamic memories, IEEE Transactions on Electron Devices, vol.26, issue.1, pp.2-9, 1979.
DOI : 10.1109/T-ED.1979.19370

]. F. Mwc-+-13, C. Miller, T. Weulersse, N. Carriere, S. Guibbaud et al., Investigation of 14 MeV Neutron Capabilities for SEU Hardness Evaluation, IEEE Trans. Nucl. Sci, vol.60, issue.4, pp.2789-2796, 2013.

. S. Mwe-+-03-]-s, C. Mukherjee, J. Weaver, S. K. Emer, T. Reinhardt et al., A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003.

[. Normand and L. Dominik, Cross Comparison Guide for Results of Neutron SEE Testing of Microelectronics Applicable to Avionics, 2010 IEEE Radiation Effects Data Workshop, pp.50-57, 2010.
DOI : 10.1109/REDW.2010.5619496

]. M. Nic11 and . Nicolaidis, Soft Errors in Modern Electronic Systems. Frontiers in Electronic Testing, 2011.

]. E. Nor96 and . Normand, Single-event effects in avionics, IEEE Transactions on Nuclear Science, vol.43, issue.2, pp.461-474, 1996.

C. [. Osborne-lee and . Alexander, CALIFORNIUM-252: A Remarkable Versatil Radioisotope, pp.1-37, 1996.
DOI : 10.2172/205871

URL : https://digital.library.unt.edu/ark:/67531/metadc672426/m2/1/high_res_d/205871.pdf

D. A. Oliveira, P. Rech, H. M. Quinn, T. D. Fairbanks, L. Monroe et al., Modern GPUs Radiation Sensitivity Evaluation and Mitigation Through Duplication With Comparison, IEEE Transactions on Nuclear Science, vol.61, issue.6, pp.613115-3122, 2014.
DOI : 10.1109/TNS.2014.2362014

H. [. Oz, M. Topcuoglu, O. Kandemir, and . Tosun, Performancereliability tradeoff analysis for multithreaded applications, 2012 Design , Automation Test in Europe Conference Exhibition (DATE), pp.893-898, 2012.
DOI : 10.1109/date.2012.6176624

M. Pani?, E. Qui-nones, P. G. Zavkov, C. Hernandez, J. Abella et al., Parallel many-core avionics systems, Proceedings of the 14th International Conference on Embedded Software, EMSOFT '14, pp.1-10, 2014.
DOI : 10.1145/2656045.2656063

R. [. Peronnard, G. Velazco, and . Hubert, Real-Life SEU Experiments on 90 nm SRAMs in Atmospheric Environment: Measures Versus Predictions Done by Means of ${\rm MUSCA~SEP}^{3}$ Platform, IEEE Transactions on Nuclear Science, vol.56, issue.6, pp.3450-3455, 2009.
DOI : 10.1109/TNS.2009.2033362

S. [. Reinhardt and . Mukherjee, Transient fault detection via simultaneous multithreading, Proceedings of 27th International Symposium on Computer Architecture, pp.25-36, 2000.
DOI : 10.1109/isca.2000.854375

URL : http://dynacomp.cs.berkeley.edu/backgrnd_papers/p25-reinhardt.pdf

B. Rebaudengo, M. Reorda, and M. Violante, An accurate analysis of the effects of soft errors in the instruction and data caches of a pipelined microprocessor, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.602-607, 2003.
DOI : 10.1109/DATE.2003.1253674

V. P. Ramos, M. Vargas, F. Baylac, S. Villa, J. Rey et al., Sensitivity to Neutron Radiation of a 45nm SOI Multi-core Processor, Proc. Radiation Effects on Components and Systems, pp.135-138, 2015.
URL : https://hal.archives-ouvertes.fr/in2p3-01192594

V. P. Ramos, M. Vargas, F. Baylac, S. Villa, J. Rey et al., Evaluating the SEE sensitivity of a 45nm SOI Multi-core Processor due to 14 MeV Neutrons
URL : https://hal.archives-ouvertes.fr/hal-01280648

R. S. Rezgui, R. Velazco, S. Ecoffet, J. Rodriguez, and . Mingo, Estimating error rates in processor-based architectures, IEEE Transactions on Nuclear Science, vol.48, issue.5, pp.1680-1687, 2001.
DOI : 10.1109/23.960357

URL : https://hal.archives-ouvertes.fr/hal-01391623

A. Sundaram, A. Aakel, D. Lockhart, D. Thaker, and D. Franklin, Efficient fault tolerance in multi-media applications through selective instruction replication, Proceedings of the 2008 workshop on Radiation effects and fault tolerance in nanometer technologies , WREFT '08, pp.339-346, 2008.
DOI : 10.1145/1366224.1366227

]. A. Sbm-+-09, J. Shye, T. Blomstedt, V. J. Moseley, D. A. Reddi et al., PLR: A Software Approach to Transient Fault Tolerance for Multicore Architectures, IEEE Trans. On Dependable And Secure Computing, vol.6, issue.2, pp.135-148, 2009.

]. E. Sch10 and . Schoitsch, Computer Safety, Reliability and Security, 2010.

]. M. Sho90 and . Shooman, Probabilistic Reliability: An Engineering Approach

]. M. Sho02 and . Shooman, Reliability of Computer Systems and Networks: Fault Tolerance,Analysis,and Design, 2002.

T. [. Sugihara, K. Ishihara, and . Murakami, Task scheduling for reliable cache architectures of multiprocessor systems, 2007 Design, Automation Test in Europe Conference Exhibition, pp.1-6, 2007.

E. [. Stolt and . Normand, A Multicore Server SEE Cross Section Model, IEEE Transactions on Nuclear Science, vol.59, issue.6
DOI : 10.1109/TNS.2012.2222437

. Srn-+-14-]-t, P. Santini, G. Rech, L. Nazar, F. Carro et al., Reducing Embedded Software Radiation-Induced Failures Through Cache Memories, Proc. European Test Symposium, 2014.

A. [. Trujillo, A. Crespo, J. Alonso, and . Pérez, MultiPARTES: Multi-core partitioning and virtualization for easing the certification of mixed-criticality systems, Microprocessors and Microsystems, vol.38, issue.8, pp.921-932, 2014.
DOI : 10.1016/j.micpro.2014.09.004

G. [. Tabkhi and . Schirner, Application-specific power-efficient approach for reducing register file vulnerability, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.574-577, 2012.
DOI : 10.1109/DATE.2012.6176535

A. Vajda, Multi-core and Many-core Processor Architectures, Programming Many-Core Chips, pp.9-43, 2011.
DOI : 10.1007/978-1-4419-9739-5_2

]. F. Vbr-+-14, M. Villa, S. Baylac, O. Rey, W. Rossetto et al., Accelerator-Based Neutron Irradiation of Integrated BIBLIOGRAPHY Circuits at GENEPI2 (France), Proc. Radiation Effects Data Workshop, 2014.

G. [. Velazco, P. Foucard, and . Peronnard, Combining Results of Accelerated Radiation Tests and Fault Injections to Predict the Error Rate of an Application Implemented in SRAM-Based FPGAs, IEEE Transactions on Nuclear Science
DOI : 10.1109/TNS.2010.2087355

]. W. Vig and . Vigrass, Calculation of Semiconductor Failure Rates Online: http://www.intersil.com/content/dam/Intersil

I. [. Vijaykumar, K. Pomeranz, and . Cheng, Transient Fault Recovery using Simultaneous Multithreading, Proc. 29th Annual Int'l Symp. on Computer Architecture, pp.87-98, 2002.
DOI : 10.1145/545214.545226

]. V. Vrm-+-14, P. Vargas, W. Ramos, R. Mansour, N. E. Velazco et al., Preliminary results of SEU fault-injection on multicore processors in AMP mode, Proc. IEEE 20th International On-Line Testing Symposium (IOLTS), pp.194-197, 2014.

]. V. Vrr-+-16, P. Vargas, V. Ramos, C. Ray, R. Jalier et al., Radiation Experiments on a 28nm Single-Chip Manycore Processor and SEU error-rate prediction, IEEE Trans. Nucl. Sci, vol.99, issue.4, pp.1-8, 2016.

D. [. Villalpando, R. Rennels, M. Some, and . Cabanas-holmen, Reliable multicore processors for NASA space missions, 2011 Aerospace Conference, pp.1-12, 2011.
DOI : 10.1109/AERO.2011.5747447

J. P. Walters, R. Kost, K. Singh, J. Suh, and S. P. Crago, Evaluating SEU fault-injection on parallel applications implemented on multicore processors Softwarebased fault tolerance for the Maestro many-core processor, Proc. 6th Latin American Symposium on Circuits BIBLIOGRAPHY Proc. 2011

R. [. Ziade, R. Ayoubi, and . Velazco, A survey on fault injection techniques, The International Arab Journal of Information Technology, vol.1, issue.2, pp.171-186, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00105562

S. [. Zhang, M. Gurumurthi, A. Kandemir, and . Sivasubramaniam, ICR: in-cache replication for enhancing data cache reliability, 2003 International Conference on Dependable Systems and Networks, 2003. Proceedings., pp.291-300, 2003.
DOI : 10.1109/DSN.2003.1209939

W. Zhang, Replication Cache: A Small Fully Associative Cache to Improve Data Cache Reliability, IEEE Transactions on Computers, vol.54, issue.12, pp.1547-1555, 2005.
DOI : 10.1109/TC.2005.202