C. Expressivity and .. Vs-implementability-of-stubs, 137 8.3.1 Semantics of the Implication Operator, p.138

/. Systemc, 167 11.1.1 Specification Languages and TLM assertions, p.172

/. Contracts-for-systemc, 174 11.3.1 Contracts for Hardware Designs, 174 11.3.2 Other Uses of Formally-Defined Contracts . . . . . . . . . . . . . . . . . . . . . . 174

V. Prototyping-of-socs and .. , 174 11.4.1 Early Detection of Bugs, p.175

~. A4-maxone, ( d2 -> X (! d2 U i )) && 12 13 ~ A4 -Range 14 G ( d1 -> (! d2 U i )) && G ( d2 -> (! d1 U i )) && 15 16 ~ A4 -Order 17 G (c -> (! a U i )) && G (c -> (! b U i )) && 18 G, )) && 19 G ( d2 -> (! a U i )) && G ( d2 -> (! b U i )) && 20 21 ~ A4 -FirstF ' 22 (! i U a ) && (! i U b ) && 23 ((! i U c ) || (! i U d1 ) || (! i U d2 ))

B. Figure, 6 The LTL encoding of ({a, b}, ?) < ({c, d [1,2] }, ?) i |

B. Figure, 8 The LTL encoding of ({a, b [1,2] }, ?) < ({c, d}, ?) i |

B. Figure, 10 The LTL encoding of ({a, b}, ?) < ({c, d [1,2] }, ?) i |

B. Figure, 12 The LTL encoding of ({a, b}, ?) < ({c, d}, ?) < ({e, f }, ?) i |

B. Figure, 14 The LTL encoding of a =? ({b, c}, ?) < ({x, y}, ?) | t in SPOT syntax

B. Figure, 16 The LTL encoding of a < ({b, c [1,2] }, ?) =? ({x, y}, ?) | t in SPOT syntax

B. Figure, 18 The LTL encoding of a =? ({b, c}, ?) < ({x, y [1,2] }, ?) | t in SPOT syntax

B. Figure, 20 The LTL encoding of a < ({b, c}, ?) =? ({x, y}, ?) | t in SPOT syntax

B. Figure, 22 The LTL encoding of a < ({b, c [1,2] }, ?) =? ({x, y}, ?) | t in SPOT syntax

B. Figure, 24 The LTL encoding of ({a, b}, ?) < c =? ({x, y [1,2] }, ?) | t in SPOT syntax

B. Figure, 26 The LTL encoding of ({a, b}, ?) =? c < ({x, y [1,2] }, ?) | t in SPOT syntax

B. Figure, 28 The LTL encoding of ({a, b}, ?) < ({c, d}, ?) =? ({x, y [1,2] }, ?) | t in SPOT syntax

Y. Abarbanel, FoCs ??? Automatic Generation of Simulation Checkers from Formal Specifications, Computer Aided Verification: 12th International Conference Proceedings. Ed. by E. Allen Emerson and Aravinda Prasad Sistla, pp.538-542, 2000.
DOI : 10.1007/10722167_40

]. S. Ake78 and . Akers, Binary Decision Diagrams, IEEE Trans. Comput, vol.27, issue.6, pp.509-516, 1978.

T. A. Luca-de-alfaro and . Henzinger, Interface Automata, Proceedings of the Ninth Annual Symposium on Foundations of Software Engineering (FSE), pp.109-120, 2001.

F. B. Bowen-alpern and . Schneider, Recognizing Safety and Liveness, pp.117-126, 1987.

K. Altisen, Using Controller-Synthesis Techniques to Build Property-Enforcing Layers, European Symposium on Programming, p.25, 2003.
DOI : 10.1007/3-540-36575-3_13

D. J. Anderson, Agile Management for Software Engineering: Applying the Theory of Constraints for Business Results, pp.131424602-133, 2003.

]. C. And96a and . André, Representation and Analysis of Reactive Behaviors: A Synchronous Approach, Computational Engineering in Systems Applications (CESA). Lille (F): IEEE-SMC, pp.19-29, 1996.

C. André, SyncCharts: a Visual Representation of Reactive Behaviors, pp.3-27, 1996.

E. Asaf, A. Marcus, and . Ziv, Defining coverage views to improve functional coverage analysis, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.41-44, 2004.
DOI : 10.1145/996566.996579

R. [. Balarin and . Passerone, Functional Verification Methodology Based on Formal Interface Specification and Transactor Generation, Proceedings of the Design Automation & Test in Europe Conference, pp.1-6, 2006.
DOI : 10.1109/DATE.2006.243899

R. [. Balarin and . Passerone, Specification, Synthesis, and Simulation of Transactor Processes, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.10, pp.1749-1762, 2007.
DOI : 10.1109/TCAD.2007.895792

W. Clark and . Barrett, Satisfiability Modulo Theories, pp.825-885, 2009.

S. Sebastian and . Bauer, Moving from Specifications to Contracts in Component-Based Design In: Fundamental Approaches to Software Engineering, LNCS, vol.7212, issue.174, pp.43-58

[. Beer, The Temporal Logic Sugar, Computer Aided Verification: 13th International Conference, pp.363-367, 2001.
DOI : 10.1007/3-540-44585-4_33

[. Amor, Validation of complex systems on a chip, from TLM level to RTL " . Theses url: https://tel.archives-ouvertes, pp.1228059-75, 2014.
URL : https://hal.archives-ouvertes.fr/tel-01228059

P. [. Benveniste and . Guernic, Hybrid dynamical systems theory and the Signal language, IEEE Transactions on Automatic Control, vol.35, issue.5, pp.535-546, 1990.
DOI : 10.1109/9.53519

URL : https://hal.archives-ouvertes.fr/inria-00075715

A. Benveniste, Multiple Viewpoint Contract-Based Specification and Design, FrankS. de Boer et al. Lecture Notes in Computer Science, vol.17, issue.12, pp.200-225, 2008.
DOI : 10.1109/43.736561

J. Bergeron, Verification Methodology Manual for SystemVerilog, pp.978-978, 2006.

[. Berry, A hardware implementation of pure ESTEREL, Academy Proceedings in Engineering Sciences, pp.95-130, 1992.
DOI : 10.1007/3-540-52148-8_1

URL : https://hal.archives-ouvertes.fr/inria-00075083

[. Berry and G. Gonthier, The Esterel synchronous programming language: design, semantics, implementation, Science of Computer Programming, vol.19, issue.2, pp.87-152, 1992.
DOI : 10.1016/0167-6423(92)90005-V

URL : https://hal.archives-ouvertes.fr/inria-00075711

[. Berry, Real Time Programming: Special Purpose or General Purpose Languages In: IFIP Congress, pp.11-17, 2002.

N. Bertrand, A Compositional Approach on Modal Specifications for Timed Systems, Formal Methods and Software Engineering: 11th International Conference on Formal Engineering Methods ICFEM 2009 Proceedings, pp.679-697, 2009.
DOI : 10.1007/978-3-642-10373-5_35

URL : https://hal.archives-ouvertes.fr/inria-00424356

[. Biernacki, Clock-directed modular code generation for synchronous data-flow languages, Proceedings of the 2008 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), pp.121-130, 2008.
DOI : 10.1145/1379023.1375674

A. [. Bombieri, F. Fedeli, and . Fummi, On PSL Properties Re-use in SoC Design Flow Based on Transaction Level Modeling, 2005 Sixth International Workshop on Microprocessor Test and Verification, pp.127-132, 2005.
DOI : 10.1109/MTV.2005.15

F. [. Bombieri and . Fummi, On the Automatic Transactor Generation for TLM-based Design Flows, 2006 IEEE International High Level Design Validation and Test Workshop, pp.85-92, 2006.
DOI : 10.1109/HLDVT.2006.319969

]. N. Bom+07 and . Bombieri, Hybrid, Incremental Assertion-Based Verification for TLM Design Flows, IEEE Design Test of Computers, vol.242, issue.174, pp.140-152, 2007.

[. Bombieri, F. Fummi, and N. Deganello, Integrating RTL IPs into TLM Designs Through Automatic Transactor Generation, Design, Automation Test in Europe Conference Exhibition 00.undefined, pp.15-20, 2008.
DOI : 10.1109/date.2008.4484653

[. Bombieri, F. Fummi, and G. Pravadelli, Incremental ABV for Functional Validation of TL-to-RTL Design Refinement, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.882-887, 2007.
DOI : 10.1109/DATE.2007.364404

/. Verimag, R. Uga-yuliia, F. Bombieri, G. Fummi, and . Pravadelli, On the Evaluation of Transactorbased Verification for Reusing TLM Assertions and Testbenches at RTL, Proceedings of the Conference on Design, Automation and Test in Europe: Proceedings. DATE '06. Munich, Germany: European Design and Automation Association, 2006.

D. Borrione, PSL-Based Online Monitoring of Digital Systems, pp.5-22, 2005.
DOI : 10.1007/978-1-4020-4998-9_1

URL : https://hal.archives-ouvertes.fr/hal-00103450

A. Bouajjani, Minimal state graph generation, Science of Computer Programming, vol.18, issue.3, pp.247-269, 1992.
DOI : 10.1016/0167-6423(92)90018-7

URL : https://doi.org/10.1016/0167-6423(92)90018-7

S. Dhananjay and . Brahme, The Transaction-Based Verification Methodology, p.173, 2000.

R. Brummayer and A. Biere, Boolector: An Efficient SMT Solver for Bit-Vectors and Arrays Algorithms for the Construction and Analysis of Systems: Held As Part of the Joint European Conferences on Theory and Practice of Software, Proceedings of the 15th International Conference on Tools and, pp.174-177, 2009.

L. Cai and D. Gajski, Transaction Level Modeling: An Overview, Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis. CODES+ISSS '03, pp.19-24, 2003.
DOI : 10.1109/codess.2003.1275250

]. P. Cas+87 and . Caspi, Lustre, a Declarative Language for Programming Synchronous Systems, 14th Symposium on Principles of Programming Languages, p.103, 1987.

E. Cerny, SVA: The Power of Assertions in SystemVerilog. 2nd, pp.9783319071381-14
DOI : 10.1007/978-3-319-07139-8

[. Cohen, S. Venkataramanan, and A. Kumari, Using PSL/Sugar for Formal and Dynamic Verification: Guide to Property Specification Language for Assertion-Based Verification, pp.66-167, 2004.

M. Coppola, Spidergon: a novel on-chip communication network, 2004 International Symposium on System-on-Chip, 2004. Proceedings., pp.15-26, 2004.
DOI : 10.1109/ISSOC.2004.1411133

J. Cornet, Separation of Functional and Non-Functional Aspects in Transactional Level Models of Systems, pp.42-46, 2008.

J. Couvreur, On-the-fly Verification of Linear Temporal Logic, Congress on Formal Methods in the Development of Computing Systems Proceedings, Volume I, pp.253-271, 1999.
DOI : 10.1007/3-540-48119-2_16

A. Dahan, Combining System Level Modeling with Assertion Based Verification, Sixth International Symposium on Quality of Electronic Design (ISQED'05), pp.21-23, 2005.
DOI : 10.1109/ISQED.2005.32

C. Bill-bunton-david, J. Black, A. Donovan, and . Keist, SystemC: From the Ground Up, pp.978-1489982667, 2010.

G. De, G. , and M. Y. Vardi, Linear Temporal Logic and Linear Dynamic Logic on Finite Traces, Proceedings of the Twenty-Third International Joint Conference on Artificial Intelligence. IJCAI '13, 2013.

L. De, M. , and N. Bjørner, Z3: An Efficient SMT Solver Algorithms for the Construction and Analysis of Systems. TACAS'08/ETAPS'08, Proceedings of the Theory and Practice of Software, 14th International Conference on Tools and, pp.337-340, 2008.

S. Devadas, Optimizing interacting finite state machines using sequential don't cares, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.10, issue.12, pp.1473-1484, 1991.
DOI : 10.1109/43.103497

A. Donzé, T. Ferrère, and O. Maler, Efficient Robust Monitoring for STL, Computer Aided Verification: 25th International Conference, pp.264-279, 2013.
DOI : 10.1007/978-3-642-39799-8_19

A. Duret-lutz, Spot's Temporal Logic Formulas. Tech. rep Available online: https: //spot, pp.33-79

A. Duret-lutz and D. Poitrenaud, SPOT: an extensible model checking library using transition-based generalized buchi automata, The IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, 2004. (MASCOTS 2004). Proceedings., pp.76-83, 2004.
DOI : 10.1109/MASCOT.2004.1348184

URL : https://hal.archives-ouvertes.fr/hal-01496158

A. Duret-lutz, Spot 2.0 ??? A Framework for LTL and $$\omega $$ -Automata Manipulation, Proceedings of the 14th International Symposium on Automated Technology for Verification and Analysis (ATVA'16, pp.122-129, 2016.
DOI : 10.1007/3-540-60915-6_6

]. W. Eck+06a and . Ecker, Specification Language for Transaction Level Assertions, IEEE International High Level Design Validation and Test Workshop, pp.77-84, 2006.

W. Ecker, Interactive presentation: Implementation of a transaction level assertion framework in SystemC, Europe Conference and Exposition, pp.894-899, 2007.

W. Ecker, Requirements and Concepts for Transaction Level Assertions, 2006 International Conference on Computer Design, pp.1-4, 2006.
DOI : 10.1109/ICCD.2006.4380830

W. Ecker, TLM+ modeling of embedded HW/SW systems, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.75-80, 2010.
DOI : 10.1109/DATE.2010.5457234

[. Eén and N. Sörensson, An Extensible SAT-solver " . In: Theory and Applications of Satisfiability Testing Selected Revised Papers, 6th International Conference, SAT 2003, pp.502-518, 2003.

C. Eisner and D. Fisman, A Practical Introduction to PSL. Series on Integrated Circuits and Systems, pp.32-33, 2006.

C. Eisner and D. Fisman, Structural Contradictions Hardware and Software: Verification and Testing: 4th International Haifa Verification Conference, Proceedings. Berlin, pp.164-178, 2008.

. Syna, S. Vcs, and . Inc, Online; accessed 08, VCS.aspx, p.107, 2016.

J. Engblom, Continuous Integration for Embedded Systems using Simulation, Embedded World Exhibition and Conference, p.174, 2015.

/. Verimag, R. Yuliia, . Bibliography, and . Ej-]-nicolas-halbwachs-erwan-jahier-pascal-raymond, The Lustre V6 Reference Manual. http: //www-verimag.imag.fr/DIST-TOOLS/SYNCHRONE/lustre-v6/doc/lv6-ref-man.pdf. Accessed, pp.2016-2024

D. Brett, A. L. Estrade, J. M. Perkins, and . Harris, Explicitly Parallel Regular Expressions Proceedings of the First International Multi-Symposiums on Computer and Computational Sciences -Volume 1 (IMSCCS'06) -Volume 01. IMSCCS '06, pp.402-409, 2006.

F. S. Marcio and . Oliveira, A SystemC Library for Advanced TLM Verification, Proceeding of Design and Verification Conference (DVCON). 2012, p.173

E. Georgios, G. J. Fainekos, and . Pappas, Robustness of Temporal Logic Specifications for Continuous-time Signals, In: Theor. Comput. Sci, vol.41042, pp.4262-4291, 2009.

W. Feller, An Introduction to Probability Theory and Its Applications, pp.28-31, 1968.

[. Ferro, Verification of temporal properties for SystemC TLM specifications " . Theses. Université Grenoble Alpes url: https://tel.archives-ouvertes, pp.633069-171, 2011.
URL : https://hal.archives-ouvertes.fr/tel-00633069

[. Ferro and L. Pierre, ISIS: Runtime Verification of TLM Platforms Advances in Design Methods from Modeling Languages for Embedded Systems and SoC's: Selected Contributions on Specification, Design, and Verification from FDL, pp.213-226, 2009.

C. Flanagan and P. Godefroid, Dynamic partial-order reduction for model checking software, ACM SIGPLAN Notices, vol.40, issue.1, pp.110-121, 2005.
DOI : 10.1145/1047659.1040315

URL : https://cloudfront.escholarship.org/dist/prd/content/qt47c9f29c/qt47c9f29c.pdf

[. Foster, Applied Assertion-Based Verification: An Industry Perspective, Foundations and Trends?? in Electronic Design Automation, vol.3, issue.1, pp.1-95, 2009.
DOI : 10.1561/1000000013

[. Foster, Introduction to the New Accellera Open Verification Library, p.173, 2006.

S. Furber, Future trends in SoC interconnect, 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test,(VLSI-TSA-DAT) 2005 (2005), pp.295-298
DOI : 10.1109/vdat.2005.1500079

S. Furber, The Advanced Microcontroller Bus Architecture (AMBA) . In: ARM Systemon-Chip Architecture, pp.216-220, 2000.

]. B. Gam86 and . Gamatie, Towards specification and proof of asynchronous systems, STACS 86: 3rd Annual Symposium on Theoretical Aspects of Computer Science, pp.262-276, 1986.

[. Gamma, Design Patterns: Elements of Reusable Object-oriented Software, pp.0-201, 1995.

[. Glasser, Open Verification Methodology Cookbook, pp.978-979, 2009.
DOI : 10.1007/978-1-4419-0968-8

L. Gonnord, N. Halbwachs, and P. Raymond, From Discrete Duration Calculus to Symbolic Automata, Third International Workshop on Synchronous Languages, Applications , and Programs, p.90, 2003.
DOI : 10.1016/j.entcs.2006.02.022

URL : https://hal.archives-ouvertes.fr/hal-00198433

D. Große and R. Drechsler, Formal verification of LTL formulas for SystemC designs, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03.
DOI : 10.1109/ISCAS.2003.1206243

[. Große, R. Ebendt, and R. Drechsler, Improvements for Constraint Solving in the SystemC Verification Library, Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, pp.493-496, 2007.

D. Große, Contradiction analysis for constraint-based random simulation, 2008 Forum on Specification, Verification and Design Languages, pp.130-135, 2008.
DOI : 10.1109/FDL.2008.4641434

D. Große, Debugging Contradictory Constraints in Constraint-Based Random Simulation In: Languages for Embedded Systems and their Applications: Selected Contributions on Specification, Design, and Verification from FDL'08, pp.273-290, 2009.

[. Haedicke, CRAVE: An advanced constrained random verification environment for SystemC, 2012 International Symposium on System on Chip (SoC), pp.1-7, 2012.
DOI : 10.1109/ISSoC.2012.6376356

F. [. Halbwachs, P. Lagnier, and . Raymond, Synchronous Observers and the Verification of Reactive Systems, Third Int. Conf. on Algebraic Methodology and Software Technology, AMAST'93. Ed. by M. Nivat et al. Twente: Workshops in Computing, p.27, 1993.
DOI : 10.1007/978-1-4471-3227-1_8

]. N. Hal+91 and . Halbwachs, The Synchronous Dataflow Programming Language LUSTRE, Proceedings of the IEEE, pp.1305-1320, 1991.

[. Halbwachs, Synchronous programming of reactive systems. The Kluwer international series in engineering and computer science. Dordrecht: Kluwer Academic publ, p.26, 1993.

[. Halbwachs, P. Raymond, and C. Ratel, Generating efficient code from data-flow programs, Third International Symposium on Programming Language Implementation and Logic Programming, pp.207-218, 1991.
DOI : 10.1007/3-540-54444-5_100

URL : http://www-verimag.imag.fr/~raymond/publis/plilp.ps.gz

A. [. Harel and . Pnueli, On the Development of Reactive Systems Logics and Models of Concurrent Systems, pp.477-498, 1985.

F. [. Helmstetter, L. Maraninchi, and . Maillet-contoz, Full simulation coverage for SystemC transaction-level models of systems-on-a-chip, Formal Methods in System Design 35, pp.152-189, 2009.
DOI : 10.1007/978-3-540-27864-1_23

URL : https://hal.archives-ouvertes.fr/hal-00429058

C. Helmstetter, Automatic Generation of Schedulings for Improving the Test Coverage of Systems-on-a-Chip, 2006 Formal Methods in Computer Aided Design
DOI : 10.1109/FMCAD.2006.10

URL : https://hal.archives-ouvertes.fr/hal-00311006

J. Hogan, 9 Major and 23 Minor Formal ABV Tool Metrics -Plus Their Gotchas, 2016.

J. E. Hopcroft, Introduction to Automata Theory, Languages and Computability
DOI : 10.1145/568438.568455

[. Humble and D. Farley, Continuous Delivery: Reliable Software Releases through Build, Test, and Deployment Automation, pp.321601912-133, 2010.

[. Hunter and J. Bergeron, Advanced UVM, pp.978-1519599292, 2015.

. Sys, . Standard-for-systemc-language, and . Manual, Computer Society Std, pp.65-172, 2011.

[. Iman and S. Joshi, The e Hardware Verification Language, pp.13-15, 2004.

/. Verimag, R. Yuliia, and . Bibliography, Synopsys Inc. VMM Verification Methodology. https : / / www . vmmcentral . org/. [Online; accessed 3, p.172, 2016.

[. Jahier, P. Raymond, and P. Baufreton, Case studies with Lurette V2, Software Tools for Technology Transfer, pp.517-530, 2006.
DOI : 10.1109/TASSP.1986.1164809

URL : https://hal.archives-ouvertes.fr/hal-00389838

R. Jindal and K. Jain, Verification of transaction-level SystemC models using RTL testbenches, First ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2003. MEMOCODE '03. Proceedings., 2003.
DOI : 10.1109/MEMCOD.2003.1210104

URL : http://ece.ut.ac.ir/classpages/F84/DesignValidationForHDLModels/verification_papers/systemCRTL_kokhazadeh.pdf

H. Kamp, Tense Logic and the Theory of Linear Order, p.32, 1968.

W. Hans and . Kamp, Tense Logic and the Theory of Linear Order, p.31, 1968.

T. [. Kasuya and . Tesfaye, Verification Methodologies in a TLM-to-RTL Design Flow, 44th ACM/IEEE Design Automation Conference, pp.199-204, 2007.

]. R. Kra98 and . Kramer, iContract -The Java(tm) Design by Contract(tm) Tool " . In: TOOLS '98: Proceedings of the Technology of Object-Oriented Languages and Systems, pp.295-295, 1998.

L. Lamport, Time, clocks, and the ordering of events in a distributed system, Communications of the ACM, vol.21, issue.7, pp.558-565, 1978.
DOI : 10.1145/359545.359563

M. Hoang, R. Le, and . Drechsler, CRAVE 2.0: The Next Generation Constrained Random Stimuli Generator for SystemC, Design and Verification Conference -Proceedings. 2014, p.14

M. Hoang, D. Le, R. Große, and . Drechsler, Towards Analyzing Functional Coverage in SystemC TLM Property Checking, pp.67-74, 2010.

]. P. Leg+91 and . Leguernic, Programming real-time applications with SIGNAL, In: Proceedings of the IEEE, vol.79, issue.9, pp.1321-1336, 1991.

[. Lichtenstein and A. Pnueli, Checking that finite state concurrent programs satisfy their linear specification, Proceedings of the 12th ACM SIGACT-SIGPLAN symposium on Principles of programming languages , POPL '85, pp.97-107, 1985.
DOI : 10.1145/318593.318622

URL : http://lsi.ugr.es/~mcapel/docencia/doctorado/seguro/TL_artl/lichtensteinPnueli95.pdf

. Lus, V. Lustre, and . Manuals, http://www-verimag.imag.fr/DIST-TOOLS/SYNCHRONE/lustre- v4/distrib/lv4-html/index.html. Accessed, pp.2016-2024

[. Maler and D. Nickovic, Monitoring Temporal Properties of Continuous Signals, Proceedings. Berlin, vol.isbn, pp.152-166, 2004.
DOI : 10.1007/978-3-540-30206-3_12

[. Manna and A. Pnueli, Completing the Temporal Picture, pp.29-31, 1991.
DOI : 10.1007/bfb0035782

F. Maraninchi, Operational and compositional semantics of synchronous automaton compositions, CONCUR '92: Third International Conference on Concurrency Theory Stony Brook, pp.550-564, 1992.
DOI : 10.1007/BFb0084815

F. Maraninchi and L. Morel, Logical-time contracts for reactive embedded components, Proceedings. 30th Euromicro Conference, 2004., p.135, 2004.
DOI : 10.1109/EURMIC.2004.1333355

URL : https://hal.archives-ouvertes.fr/hal-00293125

F. Maraninchi and Y. Rémond, Argos: an automaton-based synchronous language, Computer Languages, vol.27, issue.1-3, pp.61-92, 2001.
DOI : 10.1016/S0096-0551(01)00016-9

URL : https://hal.archives-ouvertes.fr/hal-00273055

L. Kenneth and . Mcmillan, Circular Compositional Reasoning about Liveness In: Correct Hardware Design and Verification Methods, 10th IFIP WG 10, Advanced Research Working Conference, CHARME '99, pp.342-345, 1999.

R. Mcnaughton, Testing and generating infinite sequences by a finite automaton, Information and Control, vol.9, issue.5, pp.521-530, 2006.
DOI : 10.1016/S0019-9958(66)80013-X

H. George and . Mealy, A method for synthesizing sequential circuits, Bell System Technical Journal, The, vol.345, pp.1045-1079, 1955.

B. Meyer, Applying 'design by contract', Computer, vol.25, issue.10, pp.40-51, 1992.
DOI : 10.1109/2.161279

B. Meyer, Object-oriented Software Construction Upper Saddle River, pp.0-13, 1997.

S. Meyers, Effective Modern C++: 42 Specific Ways to Improve Your Use of C++11 and C++14. O'Reilly Media, pp.978-1491903995, 2014.

[. Milner, Calculi for synchrony and asynchrony, Theoretical Computer Science, vol.25, issue.3, pp.267-310, 1983.
DOI : 10.1016/0304-3975(83)90114-7

URL : https://doi.org/10.1016/0304-3975(83)90114-7

W. [. Moadeli, A. Vanderbauwhede, and . Shahrabi, Quarc: A Novel Network-On-Chip Architecture, 2008 14th IEEE International Conference on Parallel and Distributed Systems, pp.705-712, 2008.
DOI : 10.1109/ICPADS.2008.53

URL : http://eprints.gla.ac.uk/40024/1/ID40024.pdf

F. Moraes, HERMES: an infrastructure for low area overhead packet-switching networks on chip, Integration, the VLSI Journal, vol.38, issue.1, pp.69-93, 2004.
DOI : 10.1016/j.vlsi.2004.03.003

]. K. Mab07a, D. Morin-allory, and . Borrione, On-line monitoring of properties built on regular expressions sequences Advances in Design and Specification Languages for Embedded Systems (Selected Contributions from FDL'06), Ed. by Sorin A. Huss, pp.978-979

. Springer, url: https://hal.archives-ouvertes, pp.197-207, 2007.

D. [. Morin-allory and . Borrione, Proven correct monitors from PSL specifications, Proceedings of the Design Automation & Test in Europe Conference, pp.1-6, 2006.
DOI : 10.1109/DATE.2006.244079

URL : https://hal.archives-ouvertes.fr/hal-00079311

K. Morin-allory and D. Borrione, Online Monitoring of Properties Built on Regular Expressions Sequences Advances in Design and Specification Languages for Embedded Systems: Selected Contributions from FDL'06, pp.197-207, 2007.

E. Morin-allory, D. Gascard, and . Borrione, SYNTHESIS OF PROPERTY MONITORS FOR ONLINE FAULT DETECTION, Journal of Circuits, Systems and Computers, vol.16, issue.06, pp.943-960, 2007.
DOI : 10.1016/0304-3975(86)90088-5

URL : https://hal.archives-ouvertes.fr/hal-00419356

F. S. Marcio and . Oliveira, The System Verification Methodology for Advanced TLM Verification, Proceedings of the Eighth IEEE/ACM/IFIP CODES+ISSS, vol.173, pp.313-322, 2012.

P. Prakash-mohan, Transactional Level Verification and Coverage Metrics by Means of Symbolic Simulation, pp.260-269, 2004.

L. [. Pierre and . Ferro, Enhancing the assertion-based verification of TLM designs with reentrancy, Eighth ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2010), pp.103-112, 2010.
DOI : 10.1109/MEMCOD.2010.5558642

URL : https://hal.archives-ouvertes.fr/hal-00517393

]. L. Pie+12 and . Pierre, Integrating PSL properties into SystemC transactional modeling ? Application to the verification of a modem SoC, 7th IEEE International Symposium on Industrial Embedded Systems (SIES'12). 2012, pp.220-228

L. Pierre, A Model for Assertion-Based Verification of TLM Designs, pp.126-127, 2007.

L. Pierre and Z. Amor, Automatic refinement of requirements for verification throughout the SoC design flow, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp.1-10, 2013.
DOI : 10.1109/CODES-ISSS.2013.6659016

URL : https://hal.archives-ouvertes.fr/hal-00919887

L. Pierre and L. Ferro, A Tractable and Fast Method for Monitoring SystemC TL Specifications, IEEE Transactions on Computers, vol.5710, issue.168, pp.1346-1356, 2008.
DOI : 10.1109/tc.2008.74

A. [. Pnueli and . Zaks, PSL Model Checking and Run-Time Verification Via Testers, FM 2006: Formal Methods: 14th International Symposium on Formal Methods Proceedings. Ed. by Jayadev Misra, Tobias Nipkow, and Emil Sekerinski, pp.573-586, 2006.
DOI : 10.1007/11813040_38

A. Pnueli, The temporal logic of programs, 18th Annual Symposium on Foundations of Computer Science (sfcs 1977), pp.46-57, 1977.
DOI : 10.1109/SFCS.1977.32

K. Popovici, Embedded Systems Design: Hardware and Software Interaction In: Embedded Software Design and Programming of Multiprocessor System-on-Chip: Simulink and System C Case Studies, pp.1-48, 2010.

J. Raclet, A Modal Interface Theory for Component-Based Design, Fundamenta Informaticae, vol.1081, pp.119-149, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00554283

]. P. Ray+98 and . Raymond, Automatic Testing of Reactive Systems, 19th IEEE Real-Time Systems Symposium. Madrid, Spain, p.134, 1998.

P. Raymond, Compilation efficace d'un langage déclaratif synchrone : le générateur de code Lustre-V3. (Efficient Compilation of a Declarative Synchronous Language:the Lustre- V3 Code Generator

P. Raymond, Synchronous Program Verification with Lustre/Lesar, ISTE, vol.28, pp.171-206, 2010.
DOI : 10.1002/9780470611012.ch6

T. Risset, SoC (System on Chip) . In: Encyclopedia of Parallel Computing, pp.1837-1842, 2011.

R. Yuliia, N. Rocheteau, and . Halbwachs, Implementing reactive programs on circuits a hardware implementation of LUSTRE " . In: Real-Time: Theory in Practice: REX Workshop Mook, The Netherlands, Proceedings, pp.195-208, 1991.

[. Rocheteau, N. Imag-lgi-grenoble, and . Halbwachs, POLLUX: A LUSTRE based hardware design environment, p.27, 1994.

[. Romenska and F. Maraninchi, Efficient Monitoring of Loose-Ordering Properties for SystemC/TLM, Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), p.2016
DOI : 10.3850/9783981537079_0609

URL : https://hal.archives-ouvertes.fr/hal-01243246

S. Rosenberg and K. Meade, A Practical Guide to Adopting the Universal Verification Methodology (UVM), pp.978-1300535935

V. Roy and R. Simone, In: Formal Methods in System Design 1, pp.239-249, 1992.

S. Kenneth and . Rubin, Essential Scrum: A Practical Guide to the Most Popular Agile Process

C. Spear and G. Tumbush, SystemVerilog for Verification: A Guide to Learning the Testbench Language Features, pp.978-1461407140, 2012.
DOI : 10.1007/978-1-4614-0715-7

[. Spinczyk, A. Gal, and W. Schröder-preikschat, AspectC++: An Aspectoriented Extension to the C++ Programming Language Objects for Internet, Mobile and Embedded Applications . CRPIT '02, Proceedings of the Fortieth International Conference on Tools Pacific, pp.53-60, 2002.

S. Ssf06-]-simon-davidmann, P. Sutherland, and . Flake, SystemVerilog for Design Second Edition: A Guide to Using SystemVerilog for Hardware Design and Modeling, pp.978-0387333991, 2006.

R. M. Switzer, Eiffel, an introduction, pp.36-134, 1993.

. Syn05 and . Synopsys, Reference Verification Methodology User Guide, p.172, 2005.

M. [. Tabakov and . Vardi, Monitoring temporal SystemC properties, Eighth ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2010), 2010.
DOI : 10.1109/MEMCOD.2010.5558640

[. Tabakov, K. Y. Rozier, and M. Y. Vardi, Optimized Temporal Monitors for SystemC " . In: Formal Methods in System Design 41, p.170, 2012.
DOI : 10.1007/s10703-011-0139-8

URL : http://hdl.handle.net/2060/20120016018

[. Tabakov, A Temporal Language for SystemC, 2008 Formal Methods in Computer-Aided Design, pp.1-9, 2008.
DOI : 10.1109/FMCAD.2008.ECP.26

]. K. Tom+09 and . Tomasena, A Transaction Level Assertion Verification Framework in SystemC: An Application Study Advances in Circuits, Electronics and Micro-electronics, CENICS '09. Second International Conference on, pp.75-80, 2009.

M. Y. Vardi, An automata-theoretic approach to linear temporal logic, pp.238-266, 1996.
DOI : 10.1007/3-540-60915-6_6

[. Vasudevan, Effective Functional Verification: Principles and Processes, pp.978-1588298683, 2006.

D. Vergamini, Verification by means of observational equivalence on automata, p.27, 1986.
URL : https://hal.archives-ouvertes.fr/inria-00076053

/. Verimag, R. Yuliia, and . Bibliography, Erm] Verisity Design e Reuse Methodology Developer Manual, p.134, 2002.

]. R. Wei+05 and . Weiss, Efficient and customizable integration of temporal properties into systemc " . In: Forum on Specification and Design Languages (FDL), p.12, 2005.

R. Wille, SMT-based Stimuli Generation in the SystemC Verification Library, pp.1-6, 2009.
DOI : 10.1007/978-90-481-9304-2_14

R. Wille, SWORD: A SAT like Prover Using Word Level Information In: VLSI- SoC: Advanced Topics on Systems on a Chip -A Selection of Extended Versions of the Best Papers of the Fourteenth, International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2007), pp.1-17, 2007.

P. Wolper, Temporal logic can be more expressive, IEEE 54th Annual Symposium on Foundations of Computer Science, pp.340-348, 1981.
DOI : 10.1109/sfcs.1981.44

[. Xiong, J. Bian, and Y. Zhao, An assertion-based verification method for SystemC TLM, Communications, Circuits and Systems (ICCCAS), 2010 International Conference on, pp.842-846, 2010.

[. Yang, R. Wille, and R. Drechsler, Determining Cases of Scenarios to Improve Coverage in Simulation-based Verification, Proceedings of the 27th Symposium on Integrated Circuits and Systems Design, SBCCI '14, pp.11-13, 2014.
DOI : 10.1109/TC.2006.173