. Banc-de-mesure, (a) FPGA, (b) oscilloscope, (c) alimentation stabilisée, pp.68-70

?. Valeurs-de, pour deux cartes) des ROs selon la ligne verticale passant par la coordonnée (3, 4) de la figure 2, p.72

.. Test-de-kolmogorov-smirnov, comparaison de la distribution d'une combinaison de lot à une normale, p.113

.. Test-de-kolmogorov-smirnov, comparaison des distributions du lot de référence avec les distributions d'un lot testé, p.114

. Publications, ]. M. Lec+15, J. J. Lecomte, P. Fournier, and . Maurine, « Thoroughly analyzing the use of ring oscillators for on-chip hardware trojan detection, 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). 2015, pp.1-6

]. M. Lec+16a, J. J. Lecomte, P. Fournier, and . Maurine, « Granularity and detection capability of an adaptive embedded Hardware Trojan detection system, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST). 2016, pp.135-138

M. Lecomte, J. J. Fournier, and P. Maurine, On-chip Fingerprinting of IC Topology for Integrity Verification, Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.133-138
DOI : 10.3850/9783981537079_0169

[. Lecomte, J. J. Fournier, and P. Maurine, An On-Chip Technique to Detect Hardware Trojans and Assist Counterfeit Identification, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.25, issue.12, 2016.
DOI : 10.1109/TVLSI.2016.2627525

URL : https://hal.archives-ouvertes.fr/lirmm-01430925

J. Aarestad, D. Acharyya, R. Rad, and J. Plusquellic, « Detecting trojans through leakage current analysis using multiple supply pad IDDQs, IEEE Transactions on Information Forensics and Security, vol.54, issue.37, pp.893-904, 2010.
DOI : 10.1109/tifs.2010.2061228

M. Karim, C. Abdellatif, J. Cornesse, B. Fournier, and . Robisson, « New Partitioning Approach for Hardware Trojan Detection Using Side-Channel Measurements, Applied Reconfigurable Computing: 12th International Symposium Proceedings. Ed. by Vanderlei Bonato, Christos Bouganis, and Marek Gorgon, pp.171-182, 2016.

S. «. Adee, The Hunt For The Kill Switch, IEEE Spectrum, vol.45, issue.5, pp.34-39, 2008.
DOI : 10.1109/MSPEC.2008.4505310

M. Agoyan, J. Dutertre, D. Naccache, B. Robisson, and A. Tria, « When Clocks Fail: On Critical Paths and Clock Faults ». In: Smart Card Research and Advanced Application, Proceedings. Ed. by Dieter Gollmann, pp.182-193, 2010.
DOI : 10.1007/978-3-642-12510-2_13

D. Agrawal, S. Baktir, D. Karakoyunlu, P. Rohatgi, and B. Sunar, Trojan Detection using IC Fingerprinting, 2007 IEEE Symposium on Security and Privacy (SP '07), pp.296-310, 2007.
DOI : 10.1109/SP.2007.36

URL : http://isis.poly.edu/~kurt/fm/feb_22/ic_fingerp.pdf

A. An, H. Cai, and L. Naviner, Simulation study of aging in CMOS binary adders, 2014 37th International Convention on Information and Communication Technology, Electronics and Microelectronics (MIPRO), pp.51-55, 2014.
DOI : 10.1109/MIPRO.2014.6859531

[. Ba, M. Palanichamy, and S. Dupuis, Hardware Trojan prevention using layout-level design approach, 2015 European Conference on Circuit Theory and Design (ECCTD), pp.1-4, 2015.
DOI : 10.1109/ECCTD.2015.7300093

URL : https://hal.archives-ouvertes.fr/lirmm-01234072

[. Balasch, I. Gierlichs, and . Verbauwhede, Electromagnetic circuit fingerprints for Hardware Trojan detection, 2015 IEEE International Symposium on Electromagnetic Compatibility (EMC), pp.38-40, 2015.
DOI : 10.1109/ISEMC.2015.7256167

C. Bao, D. Forte, and A. Srivastava, Temperature Tracking: Toward Robust Run-Time Detection of Hardware Trojans, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.34, issue.10, pp.1577-1585, 2015.
DOI : 10.1109/TCAD.2015.2424929

]. A. Bar+10, G. M. Barenghi, L. Bertoni, M. Breveglieri, G. Pellicioli et al., « Low voltage fault attacks to AES ». In: Hardware-Oriented Security and Trust (HOST), IEEE International Symposium on. 2010, pp.7-12, 2010.

M. Beaumont, B. Hopkins, and T. Newby, Hardware Trojans ? Prevention , Detection, Countermeasures. http://www.dtic.mil/cgi-bin/GetTRDoc?AD=ADA547668, 2011.

]. A. Bha+05, S. Bhavnagarwala, C. Kosonocky, and . Radens, Fluctuation limits amp; scaling opportunities for CMOS SRAM cells, IEDM 2005. 2005, pp.659-662

S. Bhasin, J. L. Danger, S. Guilley, X. T. Ngo, and L. Sauvage, Hardware Trojan Horses in Cryptographic IP Cores, 2013 Workshop on Fault Diagnosis and Tolerance in Cryptography, pp.15-29, 2013.
DOI : 10.1109/FDTC.2013.15

URL : https://hal.archives-ouvertes.fr/hal-00855146

]. K. Bow+10, C. Bowman, J. Tokunaga, and . Tschanz, Dynamic variation monitor for measuring the impact of voltage droops on microprocessor clock frequency, pp.1-4, 2010.

Y. Cao, C. H. Chang, and S. Chen, A Cluster-Based Distributed Active Current Sensing Circuit for Hardware Trojan Detection, IEEE Transactions on Information Forensics and Security, vol.9, issue.12, pp.2220-2231, 2014.
DOI : 10.1109/TIFS.2014.2360432

[. Cha and S. K. Gupta, Trojan Detection via Delay Measurements: A New Approach to Select Paths and Vectors to Maximize Effectiveness and Minimize Cost, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.2013-1265, 2013.
DOI : 10.7873/DATE.2013.262

R. Subhra-chakraborty, S. Paul, and S. Bhunia, On-demand transparency for improving hardware Trojan detectability, 2008 IEEE International Workshop on Hardware-Oriented Security and Trust, pp.48-50, 2008.
DOI : 10.1109/HST.2008.4559048

]. R. Cha+09a, S. Chakraborty, S. Narasimhan, and . Bhunia, « Hardware Trojan: Threats and emerging solutions, High Level Design Validation and Test Workshop, pp.166-171, 2009.

R. Subhra-chakraborty, F. Wolff, S. Paul, C. Papachristou, S. «. Bhunia et al., MERO: A Statistical Approach for Hardware Trojan Detection, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics) LNCS, vol.5747, issue.24, pp.396-410, 2009.
DOI : 10.1007/978-3-642-04138-9_28

]. L. Cho+07, J. P. Chow, W. M. Baukus, and . Clark, Integrated circuits protected against reverse engineering and method for fabricating the same using an apparent metal contact line terminating on field oxide, US Patent, vol.7294935, pp.46-47, 2007.

F. Courbon, P. Loubet-moundi, J. J. Fournier, and A. Tria, A High Efficiency Hardware Trojan Detection Technique Based on Fast SEM Imaging, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015, pp.2015-788, 2015.
DOI : 10.7873/DATE.2015.1104

F. Courbon, « Partial hardware reverse engineering applied to fine grained laser fault injection and efficient hardware trojans detection, Theses. Ecole Nationale Supérieure des Mines de Saint-Etienne, 2015.

[. Darpa, TRUST in Integrated Circuits (TIC) -Proposer Information Pamphlet, p.10, 2007.

A. Davoodi, M. Li, and M. Tehranipoor, A Sensor-Assisted Self-Authentication Framework for Hardware Trojan Detection, IEEE Design & Test, vol.30, issue.5, pp.74-82, 2013.
DOI : 10.1109/MDAT.2013.2255913

]. A. Deh+12, J. M. Dehbaoui, B. Dutertre, and . Robisson, Injection of transient faults using electromagnetic pulses -Practical results on a cryptographic system-. Cryptology ePrint Archive, p.2012, 2012.

]. S. Dev+08, E. Devadas, S. Suh, and . Paral, Design and Implementation of PUF-Based "Unclonable" RFID ICs for Anti-Counterfeiting and Security Applications, IEEE International Conference on RFID, pp.58-64, 2008.

S. Dupuis, G. D. Natale, and M. Flottes, Identification of Hardware Trojans triggering signals, First Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, 2013.
URL : https://hal.archives-ouvertes.fr/lirmm-00991360

S. Dupuis, P. Sidi-ba, G. D. Natale, M. L. Flottes, and B. Rouzeyre, A novel hardware logic encryption technique for thwarting illegal overproduction and Hardware Trojans, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS), pp.49-54, 2014.
DOI : 10.1109/IOLTS.2014.6873671

URL : https://hal.archives-ouvertes.fr/lirmm-01025275

[. Exurville, L. Zussa, J. Baptiste-rigaud, and B. Robisson, Resilient hardware Trojans detection based on path delay measurements, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp.151-156, 2015.
DOI : 10.1109/HST.2015.7140254

URL : https://hal.archives-ouvertes.fr/emse-01233319

A. Ferraiuolo, X. Zhang, and M. Tehranipoor, Experimental analysis of a ring oscillator network for hardware trojan detection in a 90nm ASIC, Proceedings of the International Conference on Computer-Aided Design, ICCAD '12, pp.37-65, 2012.
DOI : 10.1145/2429384.2429392

D. Genkin, A. Shamir, and E. Tromer, RSA Key Extraction via Low-Bandwidth Acoustic Cryptanalysis, Cryptology ePrint Archive, vol.857, 2013.
DOI : 10.1007/978-3-662-44371-2_25

URL : https://eprint.iacr.org/2013/857.pdf

U. Guin, K. Huang, and D. Dimase, Counterfeit Integrated Circuits: A Rising Threat in the Global Semiconductor Supply Chain, Proceedings of the IEEE, pp.1207-1228, 2014.
DOI : 10.1109/JPROC.2014.2332291

[. He, B. Hou, L. Wang, Y. En, and S. Xie, A failure physics model for hardware Trojan detection based on frequency spectrum analysis, 2015 IEEE International Reliability Physics Symposium, pp.11-14, 2015.
DOI : 10.1109/IRPS.2015.7112822

[. Helfmeier, D. Nedospasov, and C. Tarnovsky, Breaking and entering through the silicon, Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security, CCS '13, pp.733-744, 2013.
DOI : 10.1145/2508859.2516717

[. Jagasivamani, P. Gadfort, M. Sika, M. Bajura, and M. Fritze, Split-fabrication obfuscation: Metrics and techniques, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.7-12, 2014.
DOI : 10.1109/HST.2014.6855560

[. Jha and S. Kumar-jha, Randomization Based Probabilistic Approach to Detect Trojan Circuits, 2008 11th IEEE High Assurance Systems Engineering Symposium, pp.117-124, 2008.
DOI : 10.1109/HASE.2008.37

URL : http://www.eecs.berkeley.edu/%7Ejha/pubs/trojan-hase08.pdf

M. [. Jarvis and . Mcintyre, Split manufacturing method for advanced semiconductor circuits, US Patent, vol.7195931, 2007.

[. Karri, J. Rajendran, K. Rosenfeld, and M. Tehranipoor, Trustworthy Hardware: Identifying and Classifying Hardware Trojans, Computer, vol.43, issue.10, pp.39-46, 2010.
DOI : 10.1109/MC.2010.299

N. Karimian, F. Tehranipoor, M. Tauhidur-rahman, S. Kelly, and D. Forte, Genetic Algorithm for hardware Trojan detection with ring oscillator network (RON), 2015 IEEE International Symposium on Technologies for Homeland Security (HST), pp.1-6, 2015.
DOI : 10.1109/THS.2015.7225334

A. Keshavarzi, G. Schrom, and S. Tang, Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage, Proceedings of the 2005 international symposium on Low power electronics and design , ISLPED '05, pp.26-29, 2005.
DOI : 10.1145/1077603.1077611

P. Kocher, J. Jaffe, B. Jun, and P. Rohatgi, Introduction to differential power analysis, Journal of Cryptographic Engineering, vol.1, issue.3, pp.5-27, 2011.
DOI : 10.1109/TDSC.2004.25

P. Kitsos and A. G. Voyiatzis, FPGA Trojan Detection Using Length-Optimized Ring Oscillators, 2014 17th Euromicro Conference on Digital System Design, pp.675-678, 2014.
DOI : 10.1109/DSD.2014.74

C. Lamech, R. M. Rad, M. Tehranipoor, and J. Plusquellic, An Experimental Analysis of Power and Delay Signal-to-Noise Requirements for Detecting Trojans and Methods for Achieving the Required Detection Sensitivities, IEEE Transactions on Information Forensics and Security, vol.6, issue.3, pp.1170-1179, 2011.
DOI : 10.1109/TIFS.2011.2136339

]. M. Lec+15, J. J. Lecomte, P. Fournier, and . Maurine, « Thoroughly analyzing the use of ring oscillators for on-chip hardware trojan detection, 2015 International Conference on ReConFigurable Computing and FPGAs (ReConFig). 2015, pp.1-6

]. M. Lec+16a, J. J. Lecomte, P. Fournier, and . Maurine, « Granularity and detection capability of an adaptive embedded Hardware Trojan detection system, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST). 2016, pp.135-138

M. Lecomte, J. J. Fournier, and P. Maurine, On-chip Fingerprinting of IC Topology for Integrity Verification, Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.133-138
DOI : 10.3850/9783981537079_0169

[. Lecomte, J. J. Fournier, and P. Maurine, An On-Chip Technique to Detect Hardware Trojans and Assist Counterfeit Identification, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.25, issue.12, 2016.
DOI : 10.1109/TVLSI.2016.2627525

URL : https://hal.archives-ouvertes.fr/lirmm-01430925

[. Lim, J. W. Lee, and B. Gassend, Extracting secret keys from integrated circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1200-1205, 2005.

L. Lin, M. Kasper, T. Güneysu, C. Paar, and W. Burleson, Trojan Side-Channels: Lightweight Hardware Trojans through Side-Channel Engineering, Cryptographic Hardware and Embedded Systems-CHES 2009, pp.382-395, 2009.
DOI : 10.1007/978-3-642-04138-9_27

URL : http://www.crypto.rub.de/imperia/md/content/texte/publications/conferences/ches2009_trojan_side_channels.pdf

J. Li and J. Lach, Negative-skewed shadow registers for at-speed delay variation characterization, 2007 25th International Conference on Computer Design, pp.354-359, 2007.
DOI : 10.1109/ICCD.2007.4601924

J. Li and J. Lach, « At-speed delay characterization for IC authentication and Trojan Horse detection, pp.8-14, 2008.

C. Lamech and J. Plusquellic, Trojan detection based on delay variations measured using a high-precision, low-overhead embedded test structure, 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, pp.75-82, 2012.
DOI : 10.1109/HST.2012.6224324

[. Maurine, K. Tobich, T. Ordas, and P. Y. Liardet, « Yet Another Fault Injection Technique : by Forward Body Biasing Injection, YACC'2012: Yet Another Conference on Cryptography. Porquerolles, 2012.

X. Mingfu, H. Aiqun, and L. Guyue, Detecting Hardware Trojan through Heuristic Partition and Activity Driven Test Pattern Generation, 2014 Communications Security Conference (CSC 2014), pp.14-2014
DOI : 10.1049/cp.2014.0728

[. Moein, S. Khan, A. Gulliver, and F. Gebali, An attribute based classification of hardware trojans, 2015 Tenth International Conference on Computer Engineering & Systems (ICCES), pp.351-356, 2015.
DOI : 10.1109/ICCES.2015.7393074

. K. Ms-]-m, B. C. Mandal, and . Sarkar, « Ring oscillators: Characteristics and applications ». eng. In: Indian journal of pure & applied physics 48, pp.136-145

D. [. Mathew and . Saab, Combining multiple DFT schemes with test generation, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.18, issue.6, pp.685-696, 1999.
DOI : 10.1109/43.766721

]. S. Nar+10, D. Narasimhan, R. S. Du, and . Chakraborty, Multiple-parameter sidechannel analysis: A non-invasive hardware Trojan detection approach, pp.13-18, 2010.

[. Nejat, D. Hely, and V. Beroulle, Facilitating side channel analysis by obfuscation for Hardware Trojan detection, 2015 10th International Design & Test Symposium (IDT), pp.129-134
DOI : 10.1109/IDT.2015.7396749

URL : https://hal.archives-ouvertes.fr/hal-01391014

A. Nazma-nowroz, K. Hu, F. Koushanfar, and S. Reda, Novel Techniques for High-Sensitivity Hardware Trojan Detection Using Thermal and Power Maps, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.33, issue.12, pp.1792-1805, 2014.
DOI : 10.1109/TCAD.2014.2354293

C. Bipul, T. Paul, and . Corp, « Circuit Failure Prediction and Its Application to Transistor Aging Mridul Agarwal Stanford University Intel Corporation Subhasish Mitra, 25th IEEE VLSI Test Symposium (VTS'07), pp.277-286, 2007.

]. M. Pel+89, A. C. Pelgrom, A. P. Duinmaijer, and . Welbers, « Matching properties of MOS transistors, IEEE Journal of Solid-State Circuits, vol.245, pp.1433-1439, 1989.

G. Piret and J. Quisquater, A Differential Fault Attack Technique against SPN Structures, with Application to the AES and Khazad, Cryptographic Hardware and Embedded Systems -CHES 2003: 5th International Workshop Proceedings, pp.77-88, 2003.
DOI : 10.1007/978-3-540-45238-6_7

[. Quisquater and D. Samyde, « ElectroMagnetic Analysis (EMA): Measures and Counter-measures for Smart Cards ». In: Smart Card Programming and Security: International Conference on Research in Smart Cards, E-smart, Proceedings, pp.200-210, 2001.
DOI : 10.1007/3-540-45418-7_17

]. R. Rad+08, X. Rad, M. Wang, J. Tehranipoor, and . Plusquellic, « Power supply signal calibration techniques for improving detection resolution to hardware Trojans, IEEE/ACM International Conference on Computer-Aided Design, pp.632-639, 2008.

[. Rajendran, V. Jyothi, O. Sinanoglu, and R. Karri, Design and analysis of ring oscillator based Design-for-Trust technique, 29th VLSI Test Symposium, pp.105-110, 2011.
DOI : 10.1109/VTS.2011.5783766

[. Rajendran, V. Jyothi, O. Sinanoglu, and R. Karri, Design and analysis of ring oscillator based Design-for-Trust technique, 29th VLSI Test Symposium, pp.105-110, 2011.
DOI : 10.1109/VTS.2011.5783766

J. Rajendran, Y. Pino, O. Sinanoglu, and R. Karri, Logic encryption: A fault analysis perspective, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.953-958
DOI : 10.1109/DATE.2012.6176634

J. Jeyavijayan, O. Rajendran, R. Sinanoglu, and . Karri, « Is Split Manufacturing Secure? » In: Design, Automation &, Europe Conference & Exhibition (DATE) Ic (2013), pp.1259-1264

[. Rajendran, O. Sinanoglu, and R. Karri, Regaining Trust in VLSI Design: Design-for-Trust Techniques, Proceedings of the IEEE, pp.1266-1282, 2014.
DOI : 10.1109/JPROC.2014.2332154

[. Rai and J. Lach, Performance of delay-based Trojan detection techniques under parameter variations, 2009 IEEE International Workshop on Hardware-Oriented Security and Trust, pp.58-65, 2009.
DOI : 10.1109/HST.2009.5224966

]. J. [-roy+08, F. Roy, I. L. Koushanfar, and . Markov, « EPIC: Ending Piracy of Integrated Circuits, 2008 Design, Automation and Test in Europe, pp.1069-1074, 2008.

[. Saha, R. Subhra-chakraborty, S. Shashank-nuthakki, D. Anshul, and . Mukhopadhyay, Improved Test Pattern Generation for Hardware Trojan Detection Using Genetic Algorithm and Boolean Satisfiability, Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics, vol.9293, issue.26, pp.577-596, 2015.
DOI : 10.1007/978-3-662-48324-4_29

A. Schlösser, D. Nedospasov, J. Krämer, S. Orlic, and J. Seifert, « Simple Photonic Emission Analysis of AES, Cryptographic Hardware and Embedded Systems ? CHES 2012: 14th International Workshop Proceedings. Ed. by Emmanuel Prouff and Patrick Schaumont, pp.41-57, 2012.

S. [. Suh and . Devadas, « Physical Unclonable Functions for Device Authentication and Secret Key Generation, 44th ACM/IEEE Design Automation Conference, pp.9-14, 2007.
DOI : 10.1109/dac.2007.375043

URL : http://doi.org/10.1109/dac.2007.375043

]. O. Sol+, T. Soll, M. Korak, M. Muehlberghuber, and . Hutter, EM-based detection of hardware trojans on FPGAs, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.84-87
DOI : 10.1109/HST.2014.6855574

[. Stellari, P. Song, and A. J. Weger, Verification of untrusted chips using trusted layout and emission measurements, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp.19-24, 2014.
DOI : 10.1109/HST.2014.6855562

D. [. Torrance and . James, The state-of-the-art in semiconductor reverse engineering, Proceedings of the 48th Design Automation Conference on, DAC '11, pp.333-338, 2011.
DOI : 10.1145/2024724.2024805

[. Valamehr, T. Sherwood, and R. Kastner, A 3-D Split Manufacturing Approach to Trustworthy System Development, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp.611-615, 2013.
DOI : 10.1109/TCAD.2012.2227257

URL : https://calhoun.nps.edu/bitstream/handle/10945/37460/NPS-CS-12-004.pdf%3Bjsessionid%3DB4BFFC2D39405DA1296AA154F4B22D82?sequence%3D1

[. Wang, M. Tehranipoor, and J. Plusquellic, « Detecting malicious inclusions in secure hardware: Challenges and solutions, IEEE, pp.15-19, 2008.

[. Wang, H. Salmani, M. Tehranipoor, and J. Plusquellic, Hardware Trojan Detection and Isolation Using Current Integration and Localized Current Analysis, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp.87-95, 2008.
DOI : 10.1109/DFT.2008.61

URL : http://www.ece.unm.edu/~jimp/pubs/DFT08_FINAL.pdf

F. Wolff, C. Papachristou, S. Bhunia, and R. S. Chakraborty, Towards trojan-free trusted ICs, Proceedings of the conference on Design, automation and test in Europe, DATE '08, pp.1362-1365, 2008.
DOI : 10.1145/1403375.1403703

S. Wei and M. Potkonjak, Scalable consistency-based hardware trojan detection and diagnosis, 2011 5th International Conference on Network and System Security, pp.176-183, 2011.
DOI : 10.1109/ICNSS.2011.6059998

URL : http://www.cs.ucla.edu/%7Emiodrag/papers/Wei_NSS_2011.pdf

K. Xiao, D. Forte, and M. M. Tehranipoor, Efficient and secure split manufacturing via obfuscated built-in self-authentication, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST), pp.14-19, 2015.
DOI : 10.1109/HST.2015.7140229

[. Thuy, N. Zakaria, and S. Bhasin, Guilley Sylvain, and Danger Jeanluc . « Method Taking into Account Process Dispersions to Detect Hardware Trojan Horse by Side-Channel, pp.40-60, 2015.

X. Zhang, M. «. Tehranipoor, and . Ron, An on-chip ring oscillator network for hardware Trojan detection, Design, Automation & Test in Europe, vol.1, issue.45, pp.1-6, 2011.

]. X. Zha+12, N. Zhang, M. Tuzzio, and . Tehranipoor, « Identification of recovered ICs using fingerprints from a light-weight on-chip sensor, Design Automation Conference (DAC), 2012 49th ACM, pp.703-708

X. Zhang, A. Ferraiuolo, and M. Tehranipoor, Detection of trojans using a combined ring oscillator network and off-chip transient power analysis, ACM Journal on Emerging Technologies in Computing Systems, vol.9, issue.3, pp.1-20, 2013.
DOI : 10.1145/2491677

]. S. Cha09, R. S. Chakraborty, and . Bhunia, « Security against hardware Trojan through a novel application of design obfuscation ». In: Computer-Aided Design -Digest of Technical Papers, IEEE/ACM International Conference on, pp.113-116, 2009.

[. Ngo, X. Thuy, . Guilley, . Sylvain, . Bhasin et al., Encoding the state of integrated circuits, Proceedings of the 9th Workshop on Embedded Systems Security, WESS '14, pp.1-710, 2014.
DOI : 10.1145/2668322.2668329

URL : https://hal.archives-ouvertes.fr/hal-01240242