.. Wu, X. Palicot, J. Leray, and P. , Metrics on Energy Efficiency for Cognitive Green Equipment Based on FPGA Platform, IEEE Systems Journal, vol.11, issue.2, pp.1-12, 2015.
DOI : 10.1109/JSYST.2015.2448596

URL : https://hal.archives-ouvertes.fr/hal-01202584

?. Wu, X. Naoues, M. Palicot, J. Leray, and P. , Hierarchical reconfiguration management for heterogeneous cognitive green radio equipments, to be submitted to IEEE Transactions on Circuits and Systems II

?. Wu, X. Palicot, J. Leray, and P. , Reducing Power Consumption by Switching between Serial Mode and Parallel Mode, 1st URSI Atlantic Radio Science Conference (URSI AT-RASC), 2015.
URL : https://hal.archives-ouvertes.fr/hal-01202590

?. Wu, X. Darak, S. J. Leray, P. Palicot, J. Zhang et al., Reconfiguration management on FPGA platform for cognitive radio, 2014 XXXIth URSI General Assembly and Scientific Symposium (URSI GASS), 2014.
DOI : 10.1109/URSIGASS.2014.6929248

URL : https://hal.archives-ouvertes.fr/hal-01074975

?. Darak, S. J. Wu, X. Palicot, J. Zhang, and H. , Linear phase filter bank design with unabridged control over bandwidth and center frequency of subbands, 2014 XXXIth URSI General Assembly and Scientific Symposium (URSI GASS), 2014.
DOI : 10.1109/URSIGASS.2014.6929249

URL : https://hal.archives-ouvertes.fr/hal-01072476

?. Wu, P. Leray, and J. Palicot, A High Speed Approach of Downloading FPGA Partial Bitstreams through UDP for Reconfigurable SDR, 8th Karlsruhe Workshop on Software Radios, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01074971

?. Oussama, L. Xiguang, W. , and C. Moy, Design Approach for Cognitive Radio on Heterogeneous Platform, 8th Karlsruhe Workshop on Software Radios, 2014.

?. X. Demonstration, J. Wu, P. Palicot, C. Leray, and . Moy, HW/SW Heterogeneous multi-standard OFDM transceiver, " international workshop on Radio Virtual Machine and Security for Multi-RAT Reconfigurable Systems Report of the world commission on environment and development, Bibliography [1] United Nations, 1987.

J. Palicot and C. Roland, On the use of cognitive radio for decreasing the electromagnetic radiations, URSI, pp.23-29, 2005.

J. Palicot, Cognitive radio, Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing Connecting the World Wirelessly, IWCMC '09, pp.489-494, 2009.
DOI : 10.1145/1582379.1582486

URL : https://hal.archives-ouvertes.fr/hal-01151745

J. Mitola, The software radio architecture, IEEE Communications Magazine, vol.33, issue.5, pp.26-38, 1995.
DOI : 10.1109/35.393001

J. Mitola, I. , and G. Q. Maguire-jr, Cognitive radio: making software radios more personal, IEEE Personal Communications, vol.6, issue.4, pp.13-18, 1999.
DOI : 10.1109/98.788210

J. Mitola, Cognitive Radio: An Integrated Agent Architecture for Software Defined Radio, Royal Inst. of Tech, 2000.

J. Palicot, Radio Enineering: From Software radio to Cognitive Radio Spectrum occupancy measurement, 2005.

S. Haykin, Cognitive radio, IEEE Journal on, vol.23, issue.2, pp.201-220, 2005.
DOI : 10.1017/CBO9780511818363.008

X. Zhang, W. Jouini, P. Leray, and J. Palicot, Temperature-power consumption relationship and hot-spot migration for fpga-based system, Green Computing and Communications (GreenCom), pp.392-397, 2010.
DOI : 10.1109/greencom-cpscom.2010.101

URL : https://hal.archives-ouvertes.fr/hal-00552125

M. A. Marsan, L. Chiaraviglio, D. Ciullo, and M. Meo, Optimal energy savings in cellular access networks, Proceedings of IEEE ICC Workshops, pp.1-5, 2009.

J. Wu, S. Rangan, and H. Zhang, Green Communications: Theoretical Fundamentals , Algorithms and Applications, 2012.

A. Fehske, G. Fettweis, J. Malmodin, and G. Biczok, The global footprint of mobile communications: The ecological and economic perspective, IEEE Communications Magazine, vol.49, issue.8, pp.55-62, 2011.
DOI : 10.1109/MCOM.2011.5978416

C. The and . Group, Smart 2020: Enabling the low carbon economy in the information age, Global e-Sustainability Initiative (GeSI), 2008.

G. Gur and F. Alagoz, Green wireless communications via cognitive dimension: an overview, IEEE Network, vol.25, issue.2, pp.50-56, 2011.
DOI : 10.1109/MNET.2011.5730528

Y. Zhao, S. Mao, J. O. Neel, and J. H. Reed, Performance Evaluation of Cognitive Radios: Metrics, Utility Functions, and Methodology, Proceedings of the IEEE, vol.97, issue.4, pp.642-659, 2009.
DOI : 10.1109/JPROC.2009.2013017

L. Godard, C. Moy, and J. Palicot, From a configuration management to a cognitive radio management of SDR systems High-level design approach for the specification of cognitive radio equipments management apis, Cognitive Radio Oriented Wireless Networks and Communications, pp.1-5, 2006.

C. Langton, Orthogonal Frequency Division Multiplexing (OFDM) Tutorial

I. T. Union, Ict facts & figures, 2015.

I. T. Union, Measuring the information society report 2014, 2014.

A. P. Bianzino, C. Chaudet, D. Rossi, and J. Rougier, A Survey of Green Networking Research, IEEE Communications Surveys & Tutorials, vol.14, issue.1, pp.3-20, 2012.
DOI : 10.1109/SURV.2011.113010.00106

URL : https://hal.archives-ouvertes.fr/hal-00573049

D. Feng, C. Jiang, G. Lim, L. J. Cimini-jr, G. Feng et al., A survey of energy-efficient wireless communications, IEEE Communications Surveys & Tutorials, vol.15, issue.1, pp.167-178, 2013.
DOI : 10.1109/SURV.2012.020212.00049

T. Chen, Y. Yang, H. Zhang, H. Kim, and K. Horneman, Network energy saving technologies for green wireless access networks, IEEE Wireless Communications, vol.18, issue.5, pp.30-38, 2011.
DOI : 10.1109/MWC.2011.6056690

G. Y. Li, Z. Xu, C. Xiong, C. Yang, S. Zhang et al., Energy-efficient wireless communications: tutorial, survey, and open issues, IEEE Wireless Communications, vol.18, issue.6, pp.28-35, 2011.
DOI : 10.1109/MWC.2011.6108331

L. M. Correia, D. Zeller, O. Blume, D. Ferling, Y. Jading et al., Challenges and enabling technologies for energy aware mobile radio networks, IEEE Communications Magazine, vol.48, issue.11, pp.66-72, 2010.
DOI : 10.1109/MCOM.2010.5621969

I. Thompson, C. Ku, and . Wang, Green radio: radio techniques to enable energy-efficient wireless networks, IEEE Communications Magazine, vol.49, issue.6, pp.46-54, 2011.

Y. Chen, S. Zhang, S. Xu, and G. Y. Li, Fundamental trade-offs on green wireless networks, IEEE Communications Magazine, vol.49, issue.6, pp.30-37, 2011.
DOI : 10.1109/MCOM.2011.5783982

R. Bolla, R. Bruschi, F. Davoli, and F. Cucchietti, Energy Efficiency in the Future Internet: A Survey of Existing Approaches and Trends in Energy-Aware Fixed Network Infrastructures, IEEE Communications Surveys & Tutorials, vol.13, issue.2, pp.223-244, 2011.
DOI : 10.1109/SURV.2011.071410.00073

K. Hinton, J. Baliga, M. Z. Feng, R. Ayre, and R. Tucker, Power consumption and energy efficiency in the internet, IEEE Network, vol.25, issue.2, pp.6-12, 2011.
DOI : 10.1109/MNET.2011.5730522

J. Baliga, R. W. Ayre, K. Hinton, and R. Tucker, Green Cloud Computing: Balancing Energy in Processing, Storage, and Transport, Proceedings of the IEEE, vol.99, issue.1, pp.149-167, 2011.
DOI : 10.1109/JPROC.2010.2060451

. Greentouch, Gwatt - visualizing the greentouch results

. Greentouch, Power model for wireless base stations http://www2. imec.be/be_en/research/wireless-communication/power-model-html.html. [47] " Towards real energy-efficient network design (trend), p.7

E. Buracchini, The software radio concept, IEEE Communications Magazine, vol.38, issue.9, pp.138-143, 2000.
DOI : 10.1109/35.868153

V. Valenta, R. Mar?álek, G. Baudoin, M. Villegas, M. Suarez et al., Survey on spectrum utilization in Europe: Measurements, analyses and observations, Proceedings of the 5th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, pp.1-5, 2010.
DOI : 10.4108/ICST.CROWNCOM2010.9220

URL : https://hal.archives-ouvertes.fr/hal-00492021

O. Lazrak, C. Moy, and P. Leray, Modeling cognitive radio equipments for opportunistic spectrum access, Wireless Innovation Forum European Conference on Communications Technologies and Software Defined Radio 2013
URL : https://hal.archives-ouvertes.fr/hal-00840115

J. Palicot, J. Mitola, Z. Z. Lei, and F. K. , Special issue on 10???years of cognitive radio: state-of-the-art and perspectives, EURASIP Journal on Wireless Communications and Networking, vol.2012, issue.1, pp.1-4, 2012.
DOI : 10.1186/1687-1499-2012-214

URL : https://hal.archives-ouvertes.fr/hal-00735049

J. Wu, I. Bisio, H. Li, E. Hossain, C. Gniady et al., Context-aware networking and communications, 2014.

J. Palicot, C. Moy, and R. Hachemani, Multilayer sensors for the Sensorial Radio Bubble, Physical Communication, vol.2, issue.1-2, pp.151-165, 2009.
DOI : 10.1016/j.phycom.2009.03.003

URL : https://hal.archives-ouvertes.fr/hal-00418678

M. Ghozzi, F. Marx, M. Dohler, and J. Palicot, Cyclostatilonarilty-Based Test for Detection of Vacant Frequency Bands, 2006 1st International Conference on Cognitive Radio Oriented Wireless Networks and Communications, pp.1-5, 2006.
DOI : 10.1109/CROWNCOM.2006.363454

R. Hachemani, J. Palicot, and C. Moy, A new standard recognition sensor for cognitive radio terminals, EURASIP, 2007.

Z. Khalaf, A. Nafkha, and J. Palicot, Blind Spectrum Detector for Cognitive Radio Using Compressed Sensing, 2011 IEEE Global Telecommunications Conference, GLOBECOM 2011, pp.1-5, 2011.
DOI : 10.1109/GLOCOM.2011.6134044

URL : https://hal.archives-ouvertes.fr/hal-00659539

A. Nafkha, R. Seguier, J. Palicot, C. Moy, and J. Delahaye, A Reconfigurable Baseband Transmitter for Adaptive Image Coding, 2007 16th IST Mobile and Wireless Communications Summit, pp.1-5, 2007.
DOI : 10.1109/ISTMWC.2007.4299191

URL : https://hal.archives-ouvertes.fr/hal-00147701

W. Jouini, Energy Detection Limits Under Log-Normal Approximated Noise Uncertainty, IEEE Signal Processing Letters, vol.18, issue.7, pp.423-426, 2011.
DOI : 10.1109/LSP.2011.2155649

URL : https://hal.archives-ouvertes.fr/hal-00732825

W. Jouini, C. Moy, and J. Palicot, On decision making for dynamic configuration adaptation problem in cognitive radio equipments: a multi-armed bandit based approach, 6th Karlsruhe Workshop on Software Radios, WSR, pp.21-30, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00489310

C. J. Rieser, Biologically inspired cognitive radio engine model utilizing distributed genetic algorithms for secure and robust wireless communications and networking

T. W. Rondeau, B. Le, D. Maldonado, D. Scaperoth, and C. W. Bostian, Cognitive Radio Formulation and Implementation, 2006 1st International Conference on Cognitive Radio Oriented Wireless Networks and Communications, pp.1-10, 2006.
DOI : 10.1109/CROWNCOM.2006.363476

V. P. Kirar, Artificial neural networks for cognitive radio network: A survey

K. Tsagkaris, A. Katidiotis, and P. Demestichas, Neural network-based learning schemes for cognitive radio systems, Iconip, pp.3394-3404, 1998.
DOI : 10.1016/j.comcom.2008.05.040

N. Kasabov, Evolving connectionist systems: the knowledge engineering approach, 2007.
DOI : 10.1007/978-1-4471-3740-5

T. Weingart, D. C. Sicker, and D. Grunwald, A Statistical Method for Reconfiguration of Cognitive Radios, IEEE Wireless Communications, vol.14, issue.4, pp.34-40, 2007.
DOI : 10.1109/MWC.2007.4300981

W. Jouini, C. Moy, and J. Palicot, Decision making for cognitive radio equipment: analysis of the first 10 years of exploration, EURASIP Journal on Wireless Communications and Networking, vol.47, issue.2/3, p.26, 2012.
DOI : 10.1023/A:1013689704352

URL : https://hal.archives-ouvertes.fr/hal-00682511

W. Jouini, D. Ernst, C. Moy, and J. Palicot, Multi-armed bandit based policies for cognitive radio's decision making issues, 2009 3rd International Conference on Signals, Circuits and Systems (SCS), 2009.
DOI : 10.1109/ICSCS.2009.5412697

W. Jouini, D. Ernst, C. Moy, and J. Palicot, Upper Confidence Bound Based Decision Making Strategies and Dynamic Spectrum Access, 2010 IEEE International Conference on Communications, pp.1-5, 2010.
DOI : 10.1109/ICC.2010.5502014

URL : https://hal.archives-ouvertes.fr/hal-00489331

W. Jouini, C. Moy, and J. Palicot, Upper Confidence Bound Algorithm for Opportunistic Spectrum Access with Sensing Errors, Proceedings of the 6th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2011.
DOI : 10.4108/icst.crowncom.2011.245851

URL : https://hal.archives-ouvertes.fr/hal-00606404

J. Delahaye, C. Moy, P. Leray, and J. Palicot, Managing dynamic partial reconfiguration on heterogeneous SDR platforms, SDR Forum Technical Conference, 2005.

J. Palicot, C. Moy, B. Résimont, and R. Bonnefoi, Application of Hierarchical and Distributed Cognitive Architecture Management for the Smart Grid, Ad Hoc Networks, 2015.
DOI : 10.1016/j.adhoc.2015.12.002

URL : https://hal.archives-ouvertes.fr/hal-01266201

J. Delorme, A. Nafkha, P. Leray, and C. Moy, New OPBHWICAP Interface for Realtime Partial Reconfiguration of FPGA, 2009 International Conference on Reconfigurable Computing and FPGAs, pp.386-391, 2009.
DOI : 10.1109/ReConFig.2009.69

URL : https://hal.archives-ouvertes.fr/hal-00441450

P. Leray, A. Nafkha, and C. Moy, Implementation scenario for teaching partial reconfiguration of fpga, Proc. 6th International Workshop on Reconfigurable Communication Centric Systems-on-Chip (ReCoSoC), 2011.
URL : https://hal.archives-ouvertes.fr/hal-00606401

M. Braun and J. Pendlum, RFNoC: RF Network on Chip, GNU Radio Conference 2015, 2015.

P. Sutton, J. Lotze, H. Lahlou, S. A. Fahmy, K. Nolan et al., Iris: an architecture for cognitive radio networking testbeds, IEEE Communications Magazine, vol.48, issue.9, pp.114-122, 2010.
DOI : 10.1109/MCOM.2010.5560595

I. Xilinx, Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC User Guide, p.194, 2011.

X. Wu, P. Leray, and J. Palicot, A high speed approach of downloading fpga partial bitstreams through udp for reconfigurable sdr, 8th Workshop on Software Radios, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01074971

S. Velusamy, Lightweight ip (lwip) application examples, Xilinx, p.1026, 2008.

P. Bomel, J. Crenne, L. Ye, J. Diguet, and G. Gogniat, Ultra-Fast Downloading of Partial Bitstreams through Ethernet, Architecture of Computing Systems?ARCS 2009, pp.72-83, 2009.
DOI : 10.1007/s11265-006-0017-6

URL : https://hal.archives-ouvertes.fr/hal-00488510

I. Xilinx, ZC702 Evaluation Board for the Zynq-7000 XC7Z020 All Programmable SoC User Guide, pp.850-851

C. Kohn, Partial reconfiguration of a hardware accelerator on zynq-7000 all programmable soc devices, Xilinx, pp.1159-2013

I. Xilinx, Zynq-7000 All Programmable SoC Technical Reference Manual, UG585 (v1, p.2013

I. Xilinx, F. Spartan-6, A. Power-management-user-guide, P. Nafkha, Y. Leray et al., Moving a Processing Element from Hot to Cool Spots: Is This an Efficient Method to Decrease Leakage Power Consumption in FPGAs?, Virtex-5 FPGA System Monitor User Guide, UG192 (v1.7.1), 2011. [95] Green Communications: Theoretical Fundamentals, Algorithms and Applications, pp.198-219, 2012.

G. M. Quénot, N. Paris, and B. Zavidovique, A temperature and voltage measurement cell for VLSI circuits, Euro ASIC '91, pp.334-338, 1991.
DOI : 10.1109/EUASIC.1991.212842

K. M. Zick and J. P. Hayes, On-line sensing for healthier FPGA systems, Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays, FPGA '10, pp.239-248, 2010.
DOI : 10.1145/1723112.1723153

URL : http://www.eecs.umich.edu/%7Ejhayes/KZ_FPGAcamera_Final_Feb-10.pdf

E. Boemo and S. López-buedo, Thermal monitoring on fpgas using ringoscillators, Field-Programmable Logic and Applications, pp.69-78, 1997.
DOI : 10.1007/3-540-63465-7_212

N. Michael, C. Moy, A. P. Vinod, and J. Palicot, Area-power trade-offs for flexible filtering in green radios, Communications and Networks, pp.158-167, 2010.
DOI : 10.1109/JCN.2010.6391372

N. S. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner et al., Leakage current: Moore's law meets static power, pp.68-75, 2003.

I. Xilinx, Virtex-5 FPGA System Power Design Considerations, White Paper, 1997.

I. Krikidis, J. Danger, and L. Naviner, An iterative reconfigurability approach for WCDMA high-data-rate communications, IEEE Wireless Communications, vol.13, issue.3, p.8, 2006.
DOI : 10.1109/MWC.2006.1700065

I. Xilinx, Xilinx Power Tools Tutorial, Spartan-6 and Virtex-6, UG733 (v1, 2010.

J. Palicot and C. Roland, FFT: a basic function for a reconfigurable receiver, 10th International Conference on Telecommunications, 2003. ICT 2003., pp.898-902, 2003.
DOI : 10.1109/ICTEL.2003.1191527

A. Ghouwayel, Y. Louët, and J. Palicot, A reconfigurable butterfly architecture for fourier and fermat transforms, WSR'06, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00083992

M. Naoues, D. Noguet, L. Alaus, and Y. Louët, A common operator for FFT and FEC decoding, Microprocessors and Microsystems, vol.35, issue.8, pp.708-715, 2011.
DOI : 10.1016/j.micpro.2011.08.007

URL : https://hal.archives-ouvertes.fr/hal-00657414

J. Delorme, J. Martin, A. Nafkha, C. Moy, F. Clermidy et al., A FPGA partial reconfiguration design approach for cognitive radio based on NoC architecture, 2008 Joint 6th International IEEE Northeast Workshop on Circuits and Systems and TAISA Conference, pp.355-358, 2008.
DOI : 10.1109/NEWCAS.2008.4606394

URL : https://hal.archives-ouvertes.fr/hal-00334528

O. Lazrak, X. Wu, and C. Moy, Design approach for cognitive radio on heterogeneous platform, WSR'14, p.6, 2014.
URL : https://hal.archives-ouvertes.fr/hal-00994973

A. Mattsson, B. Lundell, B. Lings, and B. Fitzgerald, Linking model-driven development and software architecture: a case study Software Engineering MDA Guide Version 1.0.1, IEEE Transactions, vol.35, issue.1, pp.83-93, 2009.
DOI : 10.1109/tse.2008.87

S. Derrien, S. Rajopadhye, P. Quinton, and T. Risset, High-Level Synthesis of Loops Using the Polyhedral Model, High-level synthesis, pp.215-230, 2008.
DOI : 10.1007/978-1-4020-8588-8_12

URL : https://hal.archives-ouvertes.fr/hal-00410719

N. Alachiotis, S. A. Berger, and A. Stamatakis, Efficient PC-FPGA Communication over Gigabit Ethernet, 2010 10th IEEE International Conference on Computer and Information Technology, pp.1727-1734, 2010.
DOI : 10.1109/CIT.2010.302

URL : http://sco.h-its.org/exelixis/pubs/Exelixis-RRDR-2010-4.pdf

N. Alachiotis, S. A. Berger, and A. Stamatakis, A versatile UDP/IP based PC ↔ FPGA communication platform, 2012 International Conference on Reconfigurable Computing and FPGAs, pp.1-6, 2012.
DOI : 10.1109/ReConFig.2012.6416725

A. Lofgren, L. Lodesten, S. Sjöholm, and H. Hansson, An analysis of FPGA-based UDP/IP stack parallelism for embedded Ethernet connectivity, 2005 NORCHIP, pp.94-97, 2005.
DOI : 10.1109/NORCHP.2005.1596997

A. Dollas, I. Ermis, I. Koidis, I. Zisis, and C. Kachris, An Open TCP/IP Core for Reconfigurable Logic, 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'05), pp.297-298, 2005.
DOI : 10.1109/FCCM.2005.20

I. Xilinx, Virtex-5 FPGA User Guide, pp.190-195

I. Xilinx, Zynq-7000 All Programmable SoC: ZC702 Evaluation Kit and Video and Imaging Kit (ISE Design Suite 14.5) Getting Started Guide, pp.926-2013

J. W. Cooley and J. W. Tukey, An algorithm for the machine calculation of complex Fourier series, Mathematics of Computation, vol.19, issue.90, pp.297-301, 1965.
DOI : 10.1090/S0025-5718-1965-0178586-1