The software radio architecture, IEEE Communications Magazine, vol.33, issue.5, pp.26-38, 1995. ,
DOI : 10.1109/35.393001
Highly Integrated and Tunable RF Front Ends for Reconfigurable Multiband Transceivers: A Tutorial, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.58, issue.9, pp.2038-2050, 2011. ,
DOI : 10.1109/TCSI.2011.2162460
A CMOS 100 MHz to 6 GHz software defined radio analog front-end with integrated pre-power amplifier, ESSCIRC 2007, 33rd European Solid-State Circuits Conference, pp.436-439, 2007. ,
DOI : 10.1109/ESSCIRC.2007.4430336
A multiband multimode transmitter without driver amplifier, 2012 IEEE International Solid-State Circuits Conference, pp.164-166, 2012. ,
DOI : 10.1109/ISSCC.2012.6176960
A 50 MHz–6 GHz, 2 × 2 MIMO, reconfigurable architecture, software-defined radio in 130nm CMOS, 2014 IEEE Radio Frequency Integrated Circuits Symposium, pp.329-332, 2014. ,
DOI : 10.1109/RFIC.2014.6851733
A 0.1???6.0-GHz Dual-Path SDR Transmitter Supporting Intraband Carrier Aggregation in 65-nm CMOS, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.23, issue.5, pp.944-957, 2015. ,
DOI : 10.1109/TVLSI.2014.2330256
URL : https://hal.archives-ouvertes.fr/in2p3-00018209
A Wideband ΔΣ Digital-RF Modulator for High Data Rate Transmitters, IEEE Journal of Solid-State Circuits, vol.42, issue.8, pp.1710-1722, 2007. ,
DOI : 10.1109/JSSC.2007.900255
An All-Digital RF Signal Generator Using High-Speed <formula formulatype="inline"><tex Notation="TeX">$\Delta\Sigma$</tex> </formula> Modulators, IEEE Journal of Solid-State Circuits, vol.44, issue.10, pp.2722-2732, 2009. ,
DOI : 10.1109/JSSC.2009.2028406
A fully digital multimode polar transmitter employing 17b RF DAC in 3G mode, 2011 IEEE International Solid-State Circuits Conference, pp.376-378, 2011. ,
DOI : 10.1109/ISSCC.2011.5746361
A 24.7dBm all-digital RF transmitter for multimode broadband applications in 40nm CMOS, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.332-333, 2013. ,
A watt-level 2.4 GHz RF I/Q power DAC transmitter with integrated mixed-domain FIR filtering of quantization noise in 65 nm CMOS, 2014 IEEE Radio Frequency Integrated Circuits Symposium, pp.413-416, 2014. ,
DOI : 10.1109/RFIC.2014.6851755
A Wideband 2<formula formulatype="inline"><tex Notation="TeX">$\times$</tex> </formula>13-bit All-Digital I/Q RF-DAC, IEEE Transactions on Microwave Theory and Techniques, vol.62, issue.4, pp.732-752, 2014. ,
DOI : 10.1109/TMTT.2014.2307876
A CMOS IQ Digital Doherty Transmitter using modulated tuning capacitors, 2012 Proceedings of the ESSCIRC (ESSCIRC), pp.341-344, 2012. ,
DOI : 10.1109/ESSCIRC.2012.6341324
Digital transmitter design for mobile devices, IEEE Communications Magazine, vol.51, issue.10, pp.114-123, 2013. ,
DOI : 10.1109/MCOM.2013.6619574
An FPGA Based All-Digital Transmitter with Radio Frequency Output for Software Defined Radio, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1-6, 2007. ,
DOI : 10.1109/DATE.2007.364561
URL : http://cecs.uci.edu/~papers/date08/PAPERS/2007/DATE07/PDFFILES/01.2_4.PDF
An All-Digital Transmitter With a 1-Bit DAC, IEEE Transactions on Communications, vol.55, issue.10, pp.1951-1962, 2007. ,
DOI : 10.1109/TCOMM.2007.906429
An FPGA based 1-bit all digital transmitter employing Delta-Sigma Modulation with RF output for SDR, 2008 2nd International Conference on Signals, Circuits and Systems, pp.1-6, 2008. ,
DOI : 10.1109/ICSCS.2008.4746928
All-digital sigma-delta RF modulator for software defined radio applications, 2015 IEEE 28th Canadian Conference on Electrical and Computer Engineering (CCECE), pp.1379-1382, 2015. ,
A configurable sampling rate converter for all-digital 4G transmitters, 2013 European Conference on Circuit Theory and Design (ECCTD), pp.1-4, 2013. ,
DOI : 10.1109/ECCTD.2013.6662279
A 12b 2.9GS/s DAC with IM3<-60dBc beyond 1GHz in 65nm CMOS, 2009 IEEE International Solid-State Circuits Conference -Digest of Technical Papers, pp.74-7575, 2009. ,
A 13-Bit 9GS/s RF DAC-based broadband transmitter in 28nm CMOS, 2013 Symposium on VLSI Circuits, pp.262-263, 2013. ,
A 28GS/s 6b pseudo segmented current steering DAC in 90nm CMOS, Microwave Symposium Digest (MTT), pp.1-4, 2011. ,
DOI : 10.1109/mwsym.2011.5973128
A 14b 3/6GHz current-steering RF DAC in 0.18µm CMOS with 66dB ACLR at 2.9GHz, 2012 IEEE International Solid-State Circuits Conference, pp.458-460, 2012. ,
Ultimate Transmission, IEEE Microwave Magazine, vol.13, issue.1, pp.64-82, 2012. ,
DOI : 10.1109/MMM.2011.2173983
A Closed Set of Normal Orthogonal Functions, Am. J. Math, vol.45, issue.1, 1923. ,
A generalized concept of frequency and some applications, IEEE Transactions on Information Theory, vol.14, issue.3, pp.375-382, 1968. ,
DOI : 10.1109/TIT.1968.1054158
An adaptative digital voice multiplexer using Walsh functions, Proc. Appl. Walsh Funct. AD-744, p.650, 1972. ,
An application of Walsh functions to the monitoring of electrocardiograph signals, Proc. Appl. Walsh Funct. AD-744 650, 1972. ,
Applications of Walsh functions in communications, IEEE Spectrum, vol.6, issue.11, pp.82-91, 1969. ,
DOI : 10.1109/MSPEC.1969.5214175
A Walsh-Function power-cable monitoring system, Proc. Appl. Walsh Funct. AD-744 650, 1972. ,
Heart rate representation using Walsh functions, 1972. ,
Results of Multiplexing experiments using Walsh functions ,
Sequency Filters Based on Walsh Functions, IEEE Transactions on Electromagnetic Compatibility, vol.10, issue.2, pp.293-295, 1968. ,
DOI : 10.1109/TEMC.1968.302966
Walsh functions in Grille Spectroscopy, Proc. Appl. Walsh Funct. AD- 744, p.650, 1972. ,
Walsh Functions in Image Processin-and Two-Dimensional Filtering, 1972. ,
Walsh Spectroscopy of Rayleigh waves caused by underground detonations, Proc. Appl. Walsh Funct. AD-744, p.650, 1972. ,
Les méthodes rapides de transformation du signal: Fourier, pp.145-166 ,
EVM Calculation for Broadband Modulated Signals, 2004. ,
Conception de dispositifs de contrôle asynchrone et distribués pour la gestion de l'énergie ,
A 12-bit intrinsic accuracy high-speed CMOS DAC, IEEE Journal of Solid-State Circuits, vol.33, issue.12, 1998. ,
DOI : 10.1109/4.735536