]. K. Bibliographie1 and . Buchanan, The evolution of interconnect technology for silicon integrated circuitry, Proceedings of International Conference on Compound Semiconductors Manufacturing Technology, pp.0-2, 2002.

L. G. Gosset, J. Farcy, P. De-pontcharra, R. Lyan, G. J. Daamen et al., Advanced Cu interconnects using air gaps Challenges in the implementation of low-k dielectrics in the back-end of line Dielectric reliability of 70nm pitch air-gap interconnect structures Influence of air gaps on the thermal?electrical? mechanical behavior of a copper metallization Comparison of mechanical properties of porous and non-porous low-k dielectric films, Microelectronic Engineering Microelectronic Engineering Microelectronic Engineering Microelectronics Reliability Microelectronic Engineering, vol.82, issue.71 2, pp.3-4, 2004.

G. Bruce and . Dubois, Post Porosity Plasma Protection applied to a wide range of ultra low-k materials, 2012 IEEE International Interconnect Technology Conference, pp.1-3, 2012.

G. Steinlesberger and M. Engelhardt, Electrical assessment of copper damascene interconnects down to sub-50 nm feature sizes, Microelectronic Engineering, vol.64, issue.1-4, pp.409-416, 2002.
DOI : 10.1016/S0167-9317(02)00815-8

G. Schindler, G. Steinlesberger, M. Engelhardt, and W. Steinhögl, Electrical characterization of copper interconnects with end-of-roadmap feature sizes, Solid-State Electronics, vol.47, issue.7, pp.1233-1236, 2003.
DOI : 10.1016/S0038-1101(03)00042-X

. Gerardin, De l'action de la pile sur les sels de potasse et de soude et sur les alliages soumis à la fusion ignée, Comptes Rendus de l'Académie des Sciences, pp.727-1861

H. Wever and W. Seith, New results on the electrolysis of solid metallic phases, Zeitschrift für Electrochemie, vol.59, issue.10, pp.942-946, 1955.

F. Skaupy, Die Electrizitasleitung in Metallen, Verh. Dtsch. Phys. Gesellschaft, vol.16, 1914.

V. Fiks, On the mechanism of ions in metals, Solid State Physics, vol.1, issue.2959, pp.14-27, 1959.

H. Huntington and A. Grone, Current-induced marker motion in gold wires, Journal of Physics and Chemistry of Solids, vol.20, issue.1-2, pp.76-87, 1961.
DOI : 10.1016/0022-3697(61)90138-X

I. Blech and E. Meieran, Electromigration in Thin Al Films, Journal of Applied Physics, vol.40, issue.2, pp.485-491, 1969.
DOI : 10.1063/1.1657425

J. Black, Electromigration failure modes in aluminum metallization for semiconductor devices, Proceedings of the IEEE, vol.57, issue.9, pp.1587-1594, 1969.
DOI : 10.1109/PROC.1969.7340

L. Berenbaum and R. Rosenberg, Electromigration Damage in Al-Cu Thin Films, 9th Reliability Physics Symposium, pp.136-141, 1971.
DOI : 10.1109/IRPS.1971.362506

J. Lloyd and J. Clement, Electromigration damage due to copper depletion in Al/Cu alloy conductors, Applied Physics Letters, vol.69, issue.17, pp.2486-2488, 1996.
DOI : 10.1063/1.117506

J. Lloyd, Copper metallization reliability, Microelectronics Reliability, vol.39, issue.11, 1998.
DOI : 10.1016/S0026-2714(99)00177-8

C. H. Ting and I. Ivanov, Advances in copper metallization technology, 2001 6th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.01EX443), pp.382-385, 2001.
DOI : 10.1109/ICSICT.2001.981500

C. S. Hau-riege, An introduction to Cu electromigration, Microelectronics Reliability, vol.44, issue.2, pp.195-205, 2004.
DOI : 10.1016/j.microrel.2003.10.020

C. Hu, R. Rosenberg, and K. Lee, Electromigration path in Cu thin-film lines, Applied Physics Letters, vol.74, issue.20, pp.2945-2947, 1999.
DOI : 10.1063/1.123974

C. S. Hau-riege and C. V. Thompson, The effects of microstructural transitions at width transitions on interconnect reliability, Journal of Applied Physics, vol.87, issue.12, pp.8467-8472, 2000.
DOI : 10.1063/1.373565

T. Usui, T. Oki, and H. Miyajima, Identification of electromigration dominant diffusion path for Cu damascene interconnects and effect of plasma treatment and barrier dielectrics on electromigration performance, 2004 IEEE International Reliability Physics Symposium. Proceedings, pp.246-250, 2004.
DOI : 10.1109/RELPHY.2004.1315331

H. Wendrock, K. Mirpuri, S. Menzel, G. Schindler, and K. Wetzig, Correlation of electromigration defects in small damascene Cu interconnects with their microstructure, Microelectronic Engineering, vol.82, issue.3-4, pp.3-4, 2005.
DOI : 10.1016/j.mee.2005.07.077

E. Zschech, M. A. Meyer, S. G. Mhaisalkar, .. V. Vairagar, H. J. Krishnamoorthy et al., Effect of interface modification on EM-induced degradation mechanisms in copper interconnects, Thin Solid Films, vol.504, issue.1-2, pp.279-283, 2006.
DOI : 10.1016/j.tsf.2005.09.175

T. C. Wang, Y. L. Cheng, Y. L. Wang, T. E. Hsieh, G. J. Hwang et al., Comparison of characteristics and integration of copper diffusion-barrier dielectrics, Thin Solid Films, vol.498, issue.1-2, pp.36-42, 2006.
DOI : 10.1016/j.tsf.2005.07.059

M. Omiya, K. Koiwa, N. Shishido, S. Kamiya, C. Chen et al., Experimental and numerical evaluation of interfacial adhesion on Cu/SiN in LSI interconnect structures, Microelectronics Reliability, vol.53, issue.4, pp.612-621, 2013.
DOI : 10.1016/j.microrel.2012.12.009

A. V. Vairagar, S. G. Mhaisalkar, and A. Krishnamoorthy, Effect of surface treatment on electromigration in sub-micron Cu damascene interconnects, Thin Solid Films, vol.462, issue.463, pp.462-463, 2004.
DOI : 10.1016/j.tsf.2004.05.082

M. Lin, Y. L. Lin, K. P. Chang, K. C. Su, and T. Wang, Copper interconnect electromigration behaviors in various structures and lifetime improvement by cap/dielectric interface treatment, Microelectronics Reliability, vol.45, issue.7-8, pp.7-8, 2005.
DOI : 10.1016/j.microrel.2004.11.055

M. Meyer, M. Herrmann, E. Langer, and E. Zschech, In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures, Microelectronic Engineering, vol.64, issue.1-4, pp.1-4, 2002.
DOI : 10.1016/S0167-9317(02)00811-0

F. Bana, D. Ney, L. Arnaud, and Y. Wouters, Microstructure local effect for electromigration reliability improvement and Cu damascene lines design rules relaxation, 2013 IEEE International Reliability Physics Symposium (IRPS), pp.1-6, 2013.
DOI : 10.1109/IRPS.2013.6531952

M. H. Lin, S. C. Lee, and A. S. Oates, Electromigration mechanisms in Cu nano-wires, 2010 IEEE International Reliability Physics Symposium, pp.705-711, 2010.
DOI : 10.1109/IRPS.2010.5488747

R. Galand, G. Brunetti, L. Arnaud, J. Rouvière, L. Clément et al., Microstructural void environment characterization by electron imaging in 45nm technology node to link electromigration and copper microstructure, Microelectronic Engineering, vol.106, pp.1-4, 2013.
DOI : 10.1016/j.mee.2013.01.018

URL : https://hal.archives-ouvertes.fr/hal-00850231

W. D. Budiman, C. S. Hau-riege, P. R. Besser, A. Marathe, Y. Joo et al., Plasticity-Amplified Diffusivity: Dislocation Cores as Fast Diffusion Paths in CU Interconnects, 2007 IEEE International Reliability Physics Symposium Proceedings. 45th Annual, pp.122-127, 2007.
DOI : 10.1109/RELPHY.2007.369880

M. H. Lin, K. P. Chang, K. C. Su, and T. Wang, Effects of width scaling and layout variation on dual damascene copper interconnect electromigration, Microelectronics Reliability, vol.47, issue.12, pp.2100-2108, 2007.
DOI : 10.1016/j.microrel.2006.10.004

I. A. Blech, Electromigration in thin aluminum films on titanium nitride, Journal of Applied Physics, vol.47, issue.4, pp.1203-1208, 1976.
DOI : 10.1063/1.322842

M. A. Korhonen, P. Borgesen, K. N. Tu, and C. Li, Stress evolution due to electromigration in confined metal lines, Journal of Applied Physics, vol.73, issue.8, p.3790, 1993.
DOI : 10.1063/1.354073

J. J. Clement, Electromigration modeling for integrated circuit interconnect reliability analysis, IEEE Transactions on Device and Materials Reliability, vol.1, issue.1, pp.33-42, 2001.
DOI : 10.1109/7298.946458

P. Shewmon, Diffusion in solids, Metals & Materials Society, 1989.
DOI : 10.1007/978-3-319-48206-4

W. Li, C. M. Tan, and N. Raghavan, Dynamic simulation of void nucleation during electromigration in narrow integrated circuit interconnects, Journal of Applied Physics, vol.105, issue.1, p.14305, 2009.
DOI : 10.1063/1.3040159

R. G. Filippi, P. Wang, K. Brendler, J. R. Chanda, and . Lloyd, Implications of a threshold failure time and void nucleation on electromigration of copper interconnects, Journal of Applied Physics, vol.107, issue.10, p.103709, 2010.
DOI : 10.1063/1.3357161

N. Claret, C. Guedj, L. Arnaud, and G. Reimbold, Study of void growth in 120nm copper lines by in situ SEM, Microelectronic Engineering, vol.83, issue.11-12, pp.11-12, 2006.
DOI : 10.1016/j.mee.2006.09.029

M. Y. Yan, K. N. Tu, .. V. Vairagar, S. G. Mhaisalkar, and A. Krishnamoorthy, A direct measurement of electromigration induced drift velocity in Cu dual damascene interconnects, Microelectronics Reliability, vol.46, issue.8, pp.1392-1395, 2006.
DOI : 10.1016/j.microrel.2005.11.004

L. Doyen, E. Petitprez, P. Waltz, X. Federspiel, L. Arnaud et al., Extensive analysis of resistance evolution due to electromigration induced degradation, Journal of Applied Physics, vol.104, issue.12, pp.123521-123521, 2008.
DOI : 10.1063/1.3043798

J. R. Lloyd, M. W. Lane, E. G. Liniger, C. Hu, T. M. Shaw et al., Electromigration and adhesion, IEEE Transactions on Device and Materials Reliability, vol.5, issue.1, pp.113-118, 2005.
DOI : 10.1109/TDMR.2005.846308

J. Hohage, M. U. Lehr, and V. Kahlert, A copper-dielectric cap interface with high resistance to electromigration for high performance semiconductor devices, Microelectronic Engineering, vol.86, issue.3, pp.408-413, 2009.
DOI : 10.1016/j.mee.2008.12.012

C. Hu, L. Gignac, R. Rosenberg, E. Liniger, J. Rubino et al., Reduced Cu interface diffusion by CoWP surface coating, Microelectronic Engineering, vol.70, issue.2-4, pp.406-411, 2003.
DOI : 10.1016/S0167-9317(03)00286-7

R. Sule, P. Olubambi, B. T. Abe, and O. Johnson, Synthesis and characterization of sub-micron sized copper???ruthenium???tantalum composites for interconnection application, Microelectronics Reliability, vol.52, issue.8, pp.1690-1698, 2012.
DOI : 10.1016/j.microrel.2012.03.020

R. Galand, L. Arnaud, E. Petitprez, G. Brunetti, L. Clement et al., Grain boundary as relevant microstructure feature for electromigration in advanced technology studied by Electron BackScattered Diffraction, 2011 IEEE International Interconnect Technology Conference, pp.1-3, 2011.
DOI : 10.1109/IITC.2011.5940320

L. Cao, K. J. Ganesh, L. Zhang, P. J. Ferreira, and P. S. Ho, Grain structure analysis and implications on electromigration reliability for Cu interconnects, 2012 IEEE International Reliability Physics Symposium (IRPS), pp.3-4, 2012.
DOI : 10.1109/IRPS.2012.6241896

C. Hu, J. Ohm, L. M. Gignac, C. M. Breslin, S. Mittal et al., Electromigration in Cu(Al) and Cu(Mn) damascene lines, Electromigration in Cu(Al) and Cu(Mn) damascene lines, pp.93722-093722, 2012.
DOI : 10.1063/1.4711070

S. Kamiya, N. Shishido, S. Watanabe, H. Sato, K. Koiwa et al., Grain-scale adhesion strength mapping of copper wiring structures in integrated circuits, Surface and Coatings Technology, vol.215, pp.280-284, 2013.
DOI : 10.1016/j.surfcoat.2012.07.100

M. Hauschildt, Statistical Analysis of Electromigration Lifetimes and Void Evolution for Cu Interconnects, MRS Proceedings, vol.812, 2005.
DOI : 10.1063/1.1504491

H. Kawasaki and C. Hu, An electromigration failure model of tungsten plug contacts/vias for realistic lifetime prediction, 1996 Symposium on VLSI Technology. Digest of Technical Papers, pp.192-193, 1996.
DOI : 10.1109/VLSIT.1996.507848

J. R. Lloyd and J. Kitchin, The electromigration failure distribution: The fine???line case, Journal of Applied Physics, vol.69, issue.4, p.2117, 1991.
DOI : 10.1063/1.348738

L. Arnaud, P. Lamontagne, F. Bana, Y. L. Friec, and P. Waltz, Study of electromigration void nucleation time in Cu interconnects with doping elements, Microelectronic Engineering, vol.107, pp.8-13, 2012.
DOI : 10.1016/j.mee.2012.08.023

J. Standard, Standard Test Structures for Reliability Assessment of AlCu Metallizations with Barrier Materials, pp.1-15, 2001.

H. Schafft, Thermal analysis of electromigration test structures, IEEE Transactions on Electron Devices, vol.34, issue.3, pp.664-672, 1987.
DOI : 10.1109/T-ED.1987.22978

F. C. Livermore, P. S. Wilcox, and W. D. Westwood, Investigation of Tantalum Film Properties by Layers, Journal of Vacuum Science and Technology, vol.8, issue.1, pp.155-158, 1971.
DOI : 10.1116/1.1316269

T. Riekkinen, J. Molarius, T. Laurila, A. Nurmela, I. Suni et al., Reactive sputter deposition and properties of TaxN thin films, Microelectronic Engineering, vol.64, issue.1-4, pp.289-297, 2002.
DOI : 10.1016/S0167-9317(02)00801-8

K. Schroder, Crc handbook of electrical reistivities of binary letallic alloys, p.442, 1983.

A. Vairagar, S. Mhaisalkar, and A. Krishnamoorthy, Electromigration behavior of dual-damascene Cu interconnects??????Structure, width, and length dependences, Microelectronics Reliability, vol.44, issue.5, pp.747-754, 2004.
DOI : 10.1016/j.microrel.2003.12.011

Y. Kuwabara, S. Nishimura, R. Zaharuddin, and J. Shirakashi, Investigation of electromigration in micrometer-scale metal wires by in-situ optical microscopy, 2011 6th IEEE International Conference on Nano/Micro Engineered and Molecular Systems, pp.681-684, 2011.
DOI : 10.1109/NEMS.2011.6017446

K. L. Lee, C. K. Hu, and K. N. Tu, scanning electron microscope comparison studies on electromigration of Cu and Cu(Sn) alloys for advanced chip interconnects, Journal of Applied Physics, vol.78, issue.7, pp.4428-4437, 1995.
DOI : 10.1063/1.359851

K. Croes, M. Lofrano, C. J. Wilson, L. Carbonell, Y. K. Siew et al., Study of void formation kinetics in Cu interconnects using local sense structures, 2011 International Reliability Physics Symposium, pp.3-5, 2011.
DOI : 10.1109/IRPS.2011.5784495

M. Hauschildt, M. Gall, S. Thrasher, P. Justison, R. Hernandez et al., Statistical analysis of electromigration lifetimes and void evolution, Journal of Applied Physics, vol.101, issue.4, pp.43523-043523, 2007.
DOI : 10.1063/1.2655531

R. L. De-orio, H. Ceric, J. Cervenka, and S. Selberherr, The Effect of Copper Grain Size Statistics on the Electromigration Lifetime Distribution, 2009 International Conference on Simulation of Semiconductor Processes and Devices, pp.1-4, 2009.
DOI : 10.1109/SISPAD.2009.5290219

L. Doyen, Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique, 2009.

L. Arnaud, G. Tartavel, T. Berger, D. Mariolle, Y. Gobil et al., Microstructure and electromigration in copper damascene lines, Microelectronics Reliability, vol.40, issue.1, pp.77-86, 2000.
DOI : 10.1016/S0026-2714(99)00209-7

C. Hu, D. Canaperi, S. T. Chen, L. M. Gignac, S. Kaldor et al., Electromigration Cu mass flow in Cu interconnections, Thin Solid Films, vol.504, issue.1-2, pp.274-278, 2006.
DOI : 10.1016/j.tsf.2005.09.161

R. Galand, Caractérisation physique de la microstructure des interconnexions avancées Cu/Low-k pour l'étude des défaillances par électromigration, 2011.

V. Carreau, S. Maîtrejean, M. Verdier, Y. Bréchet, . Roule et al., Evolution of Cu microstructure and resistivity during thermal treatment of damascene line: Influence of line width and temperature, Microelectronic Engineering, vol.84, issue.11, pp.2723-2728, 2007.
DOI : 10.1016/j.mee.2007.05.016

URL : https://hal.archives-ouvertes.fr/hal-00207489

A. Frank, R. H. Havemann, V. Parihar, and M. Nowell, Optimization of annealing conditions for dual damascene Cu microstructures and via chain yields, 2001 Symposium on VLSI Technology. Digest of Technical Papers (IEEE Cat. No.01 CH37184), pp.139-140, 2001.

P. Lamontagne, Caractérisation électrique et modélisation de la cinétique d ' endommagement par électromigration pour la fiabilité des interconnexions des technologies avancées de la microélectronique, 2011.

C. Hau-riege and R. Klein, The effect of a width transition on the electromigration reliability of Cu interconnects, 2008 IEEE International Reliability Physics Symposium, pp.377-380, 2008.
DOI : 10.1109/RELPHY.2008.4558915

A. Oates and M. Lin, The scaling of electromigration lifetimes, 2012 IEEE International Reliability Physics Symposium (IRPS), pp.6-8, 2012.
DOI : 10.1109/IRPS.2012.6241868

A. Fischer, A. Von-glasow, S. Penka, and F. Ungar, Electromigration failure mechanism studies on copper interconnects, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519), pp.139-141, 2002.
DOI : 10.1109/IITC.2002.1014913

J. Guillan, L. G. Gosset, R. Delsol, E. Ollier, P. Brun et al., Hybrid punch through approach to address electroless related integration issues of hybrid CoWP/SiCN barriers, Microelectronic Engineering, vol.84, issue.11, pp.2629-2633, 2007.
DOI : 10.1016/j.mee.2007.05.019

T. K. Tsai, S. S. Wu, C. S. Hsu, and J. S. Fang, Effect of phosphorus on the copper diffusion barrier properties of electroless CoWP films, Thin Solid Films, vol.519, issue.15, pp.4958-4962, 2011.
DOI : 10.1016/j.tsf.2011.01.061

C. P. Wang, S. Lopatin, A. Marathe, M. Buynoski, R. Huang et al., Binary Cu-alloy layers for Cuinterconnections reliability improvement, Proceedings of the IEEE 2001 International Interconnect Technology Conference, pp.86-88, 2001.

Z. T?kei, K. Croes, and G. P. Beyer, Reliability of copper low-k interconnects, Microelectronic Engineering, vol.87, issue.3, pp.348-354, 2010.
DOI : 10.1016/j.mee.2009.06.025

S. Yokogawa and H. Tsuchiya, Effects of Al Doping on Electromigration Performance of Narrow Single Damascene Cu Interconnects, 2006 IEEE International Reliability Physics Symposium Proceedings, pp.667-668, 2006.
DOI : 10.1109/RELPHY.2006.251315

C. Christiansen, B. Li, M. Angyal, T. Kane, V. Mcgahay et al., Electromigrationresistance enhancement with CoWP or CuMn for advanced Cu interconnects, Proceedings of the 50th IEEE International Reliability Physics Symposium, pp.3-3, 2011.

T. Nogami, C. Penny, and A. Madan, Electromigration extendibility of Cu(Mn) alloy-seed interconnects, and understanding the fundamentals, 2012 International Electron Devices Meeting, pp.805-808, 2012.
DOI : 10.1109/IEDM.2012.6479161

A. Fischer and A. Abel, Experimental data and statistical models for bimodal EM failures, 2000 IEEE International Reliability Physics Symposium Proceedings. 38th Annual (Cat. No.00CH37059), pp.359-363, 2000.
DOI : 10.1109/RELPHY.2000.843940

M. Stephens, EDF Statistics for Goodness of Fit and Some Comparisons, Journal of the American Statistical Association, vol.10, issue.1, pp.730-737, 1974.
DOI : 10.1111/j.1467-9574.1967.tb00548.x

A. Fischer, A. Abel, M. Lepper, A. Zitzelsberger, and A. Glasow, Modeling bimodal electromigration failure distributions, Microelectronics Reliability, vol.41, issue.3, pp.445-453, 2001.
DOI : 10.1016/S0026-2714(00)00246-8

A. S. Oates and M. H. Lin, Analysis and modeling of critical current density effects on electromigration failure distributions of Cu dual-damascene vias, 2008 IEEE International Reliability Physics Symposium, pp.385-391, 2008.
DOI : 10.1109/RELPHY.2008.4558917

S. Lee and A. Oates, Identification and Analysis of Dominant Electromigration Failure Modes in Copper/Low-K Dual Damascene Interconnects, 2006 IEEE International Reliability Physics Symposium Proceedings, pp.107-114, 2006.
DOI : 10.1109/RELPHY.2006.251200

L. Arnaud, D. Galpin, S. Chhun, C. Monget, E. Richard et al., Reliability failure modes in interconnects for the 45 nm technology node and beyond, 2009 IEEE International Interconnect Technology Conference, pp.179-181, 2009.
DOI : 10.1109/IITC.2009.5090381

E. Castillo, Extreme Value Theory in Engineering, 1988.

E. Amerasekera and F. Najm, Failure mechanisms in semiconductor devices, 1997.

H. Ceric, R. L. De-orio, and S. Selberherr, Electromigration anisotropy and mechanical stress in modern copper interconnect, 2010 17th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits, pp.1-4, 2010.
DOI : 10.1109/IPFA.2010.5532224

R. D. Agostino and M. Stephens, Goodness-of-fit Techniques, 1986.

S. Blonkowski, F. Bana, and D. Ney, Using Statistics of Extremes for Electromigration and Time-Dependent Dielectric Breakdown, IEEE Transactions on Device and Materials Reliability, vol.14, issue.1, pp.1-9, 2013.
DOI : 10.1109/TDMR.2013.2256911