O. Bichler, D. Roclin, C. Gamrat, and D. Querlioz, Design exploration methodology for memristor-based spiking neuromorphic architectures with the Xnet event-driven simulator, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp.7-12, 2013.
DOI : 10.1109/NanoArch.2013.6623029

D. Roclin, O. Bichler, C. Gamrat, S. Thorpe, and J. Klein, Design study of efficient digital order-based STDP neuron implementations for extracting temporal features, The 2013 International Joint Conference on Neural Networks (IJCNN), pp.1-7, 2013.
DOI : 10.1109/IJCNN.2013.6707071

D. Roclin, O. Bichler, C. Gamrat, and J. Klein, Sneak paths effects in cbram memristive devices arrays for spiking neural networks, In Nanoscale Architectures (NANOARCH) IEEE/ACM International Symposium on, pp.13-18, 2014.

C. Gamrat, D. Roclin, O. Bichler, M. Suri, D. Querlioz et al., Designing neuromorphic cicuits with memristive technologies, MemTDAC 1' (HIPEAC'14), 2014.

D. Roclin, O. Bichler, E. Vianello, M. Reyboz, M. Suri et al., Cbram as synapses for neuromorphic engineering, NANO-Saclay Nanoelectronics 2013, 2013.

K. Abe, M. Tendulkar, J. Jameson, P. B. Griffin, K. Nomura et al., Ultra-high bandwidth memory with 3d-stacked emerging memory cells, Integrated Circuit Design and Technology and Tutorial ICICDT 2008. IEEE International Conference on, pp.203-2064567279, 2008.

J. Anderson and E. Rosenfeld, Talking Nets: An Oral History Of Neural Networks, IEEE Transactions on Neural Networks, vol.9, issue.5, 2000.
DOI : 10.1109/TNN.1998.712193

J. V. Arthur and K. Boahen, Learning in silicon : Timing is everything, NIPS'05, pp.1-1, 2005.

M. Balakrishnan, S. Thermadam, M. Mitkova, and M. Kozicki, A low power nonvolatile memory element based on copper in deposited silicon oxide, Non-Volatile Memory Technology Symposium NVMTS 2006. 7th Annual, pp.104-110, 2006.

R. Benenson, Classification datasets results. URL http

G. Q. Bi and M. M. Poo, Synaptic modifications in cultured hippocampal neurons : dependence on spike timing, synaptic strength, and postsynaptic cell type, J. Neurosci, vol.18, issue.24, pp.10464-10472, 1998.

O. Bichler, Contribution à la conception d'architecture de calcul auto-adaptative intégrant des nanocomposants neuromorphiques et applications potentielles, 2012.

O. Bichler, D. Querlioz, S. Thorpe, J. Bourgoin, and C. Gamrat, Unsupervised features extraction from asynchronous silicon retina through Spike-Timing-Dependent Plasticity, The 2011 International Joint Conference on Neural Networks, pp.859-866, 2011.
DOI : 10.1109/IJCNN.2011.6033311

O. Bichler, D. Querlioz, S. J. Thorpe, J. Bourgoin, and C. Gamrat, Extraction of temporally correlated features from dynamic vision sensors with spike-timing-dependent plasticity, Neural Networks, vol.32, issue.0, pp.339-348
DOI : 10.1016/j.neunet.2012.02.022

URL : https://hal.archives-ouvertes.fr/hal-00706681

O. Bichler, M. Suri, D. Querlioz, D. Vuillaume, B. Desalvo et al., Visual Pattern Extraction Using Energy-Efficient “2-PCM Synapse” Neuromorphic Architecture, IEEE Transactions on Electron Devices, vol.59, issue.8, pp.2206-2214, 2012.
DOI : 10.1109/TED.2012.2197951

O. Bichler, C. Gamrat, and D. Querlioz, Method for non-supervised learning in an artificial neurone network based on memristive nanodevices, and artificial neurone network implementing said method, p.420

O. Bichler, D. Roclin, C. Gamrat, and D. Querlioz, Design exploration methodology for memristor-based spiking neuromorphic architectures with the Xnet event-driven simulator, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp.7-12, 2013.
DOI : 10.1109/NanoArch.2013.6623029

C. M. Bishop, Neural Networks for Pattern Recognition, 1995.

K. Boahen, Point-to-point connectivity between neuromorphic chips using address events. Circuits and Systems II : Analog and Digital Signal Processing, IEEE Transactions on, vol.47, issue.5, pp.416-434, 2000.

K. A. Boahen, Communicating Neuronal Ensembles between Neuromorphic Chips, Neuromorphic Systems Engineering, pp.229-259, 1998.
DOI : 10.1007/978-0-585-28001-1_11

R. Bruchhaus, M. Honal, R. Symanczyk, and M. Kund, Selection of Optimized Materials for CBRAM Based on HT-XRD and Electrical Test Results, Journal of The Electrochemical Society, vol.156, issue.9, pp.729-733, 2009.
DOI : 10.1149/1.3160570

N. Caporale and Y. Dan, Spike Timing???Dependent Plasticity: A Hebbian Learning Rule, Annual Review of Neuroscience, vol.31, issue.1, pp.25-46, 2008.
DOI : 10.1146/annurev.neuro.31.060407.125639

G. Chevrier, Potentiel d' action, 2014. URL http

L. Chua, Memristor-the missing circuit element. Circuit Theory, IEEE Transactions on, vol.18, issue.5, pp.507-519, 1971.

D. C. Cire?an, U. Meier, L. M. Gambardella, and J. Schmidhuber, Deep, Big, Simple Neural Nets for Handwritten Digit Recognition, Neural Computation, vol.19, issue.12, pp.3207-3220, 2010.
DOI : 10.1109/ICDAR.2003.1227801

D. Ciresan, U. Meier, L. Gambardella, and J. Schmidhuber, Convolutional Neural Network Committees for Handwritten Character Classification, 2011 International Conference on Document Analysis and Recognition, pp.1135-1139, 2011.
DOI : 10.1109/ICDAR.2011.229

C. A. Curcio, K. R. Sloan, R. E. Kalina, and A. E. Hendrickson, Human photoreceptor topography, The Journal of Comparative Neurology, vol.26, issue.4, pp.497-523
DOI : 10.1002/cne.902920402

N. Dalal and B. Triggs, Histograms of Oriented Gradients for Human Detection, 2005 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR'05), pp.886-893, 2005.
DOI : 10.1109/CVPR.2005.177

URL : https://hal.archives-ouvertes.fr/inria-00548512

B. R. David, E. Williams, G. De-tremiolles, and P. Tannhof, Description and practical uses of ibm zisc036, pp.198-211, 1999.

C. Diorio, P. Hasler, B. Minch, and C. Mead, Floating-Gate MOS Synapse Transistors, Neuromorphic Systems Engineering, pp.315-337
DOI : 10.1007/978-0-585-28001-1_14

URL : http://authors.library.caltech.edu/53517/1/388959.pdf

C. Diorio, P. Hasler, B. Minch, and C. Mead, A single-transistor silicon synapse, IEEE Transactions on Electron Devices, vol.43, issue.11, pp.1972-1980, 1996.
DOI : 10.1109/16.543035

J. G. Elias, D. P. Northmore, and W. Westerman, An Analog Memory Circuit for Spiking Silicon Neurons, Neural Computation, vol.146, issue.2, pp.419-440
DOI : 10.1109/TCS.1983.1085315

B. N. Engel, J. Akerman, B. Butcher, R. Dave, M. Deherrera et al., A 4-Mb toggle MRAM based on a novel bit and switching method, IEEE Transactions on Magnetics, vol.41, issue.1, pp.132-136, 2004.
DOI : 10.1109/TMAG.2004.840847

T. Fang, S. Kaza, S. Haddad, A. Chen, Y. Wu et al., Erase Mechanism for Copper Oxide Resistive Switching Memory Cells with Nickel Electrode, 2006 International Electron Devices Meeting, 2006.
DOI : 10.1109/IEDM.2006.346731

R. Fitzhugh, Impulses and Physiological States in Theoretical Models of Nerve Membrane, Biophysical Journal, vol.1, issue.6, pp.445-466, 1961.
DOI : 10.1016/S0006-3495(61)86902-6

D. and F. Bentchkowsky, MEMORY BEHAVIOR IN A FLOATING???GATE AVALANCHE???INJECTION MOS (FAMOS) STRUCTURE, Applied Physics Letters, vol.18, issue.8, pp.332-334, 1971.
DOI : 10.1063/1.1653685

K. Fukushima, Neocognitron: A self-organizing neural network model for a mechanism of pattern recognition unaffected by shift in position, Biological Cybernetics, vol.40, issue.4, pp.193-202, 1980.
DOI : 10.1007/BF00344251

S. Furber, D. Lester, L. Plana, J. Garside, E. Painkras et al., Overview of the spinnaker system architecture. Computers, IEEE Transactions on, vol.62, issue.12, pp.2454-2467, 2013.

W. Gallagher and S. Parkin, Development of the magnetic tunnel junction MRAM at IBM: From first junctions to a 16-Mb MRAM demonstrator chip, IBM Journal of Research and Development, vol.50, issue.1, pp.5-23, 2006.
DOI : 10.1147/rd.501.0005

D. Garbin, O. Bichler, E. Vianello, Q. Rafhay, C. Gamrat et al., Variability-tolerant Convolutional Neural Network for Pattern Recognition applications based on OxRAM synapses, 2014 IEEE International Electron Devices Meeting, 2014.
DOI : 10.1109/IEDM.2014.7047126

D. Hammerstrom, A VLSI architecture for high-performance, low-cost, on-chip learning, 1990 IJCNN International Joint Conference on Neural Networks, pp.537-544, 1990.
DOI : 10.1109/IJCNN.1990.137621

J. Hasler and H. B. Marr, Finding a roadmap to achieve large neuromorphic hardware systems, Frontiers in Neuroscience, vol.7, issue.118
DOI : 10.3389/fnins.2013.00118

D. O. Hebb, The Organization of Behavior : A Neuropsychological Theory, 1949.

T. Hindo, Weight updating floating-gate synapse, Electronics Letters, vol.50, issue.17, pp.1190-1191, 2014.
DOI : 10.1049/el.2014.2039

A. L. Hodgkin and A. F. Huxley, A quantitative description of membrane current and its application to conduction and excitation in nerve, The Journal of Physiology, vol.117, issue.4, pp.500-544, 1952.
DOI : 10.1113/jphysiol.1952.sp004764

M. Holler, S. Tam, H. Castro, and R. Benson, An electrically trainable artificial neural network (ETANN) with 10240 'floating gate' synapses, International Joint Conference on Neural Networks, pp.191-196, 1989.
DOI : 10.1109/IJCNN.1989.118698

Y. Hongxin, S. Luping, L. H. Koon, Z. Rong, and C. T. Chong, Endurance enhancement of elevated-confined phase change random access memory, Japanese Journal of Applied Physics, vol.51, issue.2S

Y. Huai, Y. Zhou, I. Tudosa, R. Malmhall, R. Ranjan et al., Progress and outlook for STT-MRAM, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.235-235, 2011.
DOI : 10.1109/ICCAD.2011.6105332

D. H. Hubel, T. N. Wiesel, D. Hubel, and T. Wiesel, Receptive fields of single neurones in the cat's striate cortex, The Journal of Physiology, vol.148, issue.3, pp.574-591, 1959.
DOI : 10.1113/jphysiol.1959.sp006308

S. Hwang, Cmos image sensor : Current status and future perspectives, 2012.

G. Indiveri, Neuromorphic bistable VLSI synapses with spike-timing-dependent plasticity, Advances in Neural Information Processing Systems, pp.1091-1098, 2002.

B. G. Indiveri, E. Chicca, and R. Douglas, A VLSI Array of Low-Power Spiking Neurons and Bistable Synapses With Spike-Timing Dependent Plasticity, IEEE Transactions on Neural Networks, vol.17, issue.1, pp.211-221, 2006.
DOI : 10.1109/TNN.2005.860850

M. Ito and M. Kano, Long-lasting depression of parallel fiber-Purkinje cell transmission induced by conjunctive stimulation of parallel fibers and climbing fibers in the cerebellar cortex, Neuroscience Letters, vol.33, issue.3, pp.253-2580304, 1982.
DOI : 10.1016/0304-3940(82)90380-9

J. Jameson, N. Gilbert, F. Koushan, J. Saenz, J. Wang et al., Quantized conductance in ag/ges 2 /w conductive-bridge memory cells. Electron Device Letters, IEEE, vol.33, issue.2, pp.257-259, 2012.

J. Jameson, P. Blanchard, C. Cheng, J. Dinh, A. Gallo et al., Conductive-bridge memory (CBRAM) with excellent high-temperature retention, 2013 IEEE International Electron Devices Meeting, pp.30-31, 2013.
DOI : 10.1109/IEDM.2013.6724721

D. S. Jeong, R. Thomas, R. S. Katiyar, J. F. Scott, H. Kohlstedt et al., Emerging memories: resistive switching mechanisms and current status, Reports on Progress in Physics, vol.75, issue.7, p.75076502, 2012.
DOI : 10.1088/0034-4885/75/7/076502

S. H. Jo, T. Chang, I. Ebong, B. B. Bhadviya, P. Mazumder et al., Nanoscale Memristor Device as Synapse in Neuromorphic Systems, Nano Letters, vol.10, issue.4, pp.1297-1301, 2010.
DOI : 10.1021/nl904092h

A. Joubert, B. Belhadj, O. Temam, and R. Heliot, Hardware spiking neurons design: Analog or digital?, The 2012 International Joint Conference on Neural Networks (IJCNN), pp.1-5, 2012.
DOI : 10.1109/IJCNN.2012.6252600

Y. Kim, S. R. Lee, D. Lee, C. B. Lee, M. Chang et al., Bi-layered rram with unlimited endurance and extremely uniform switching, VLSI Technology (VLSIT), 2011 Symposium on, pp.52-53, 2011.

M. Kozicki, M. Park, and M. Mitkova, Nanoscale Memory Elements Based on Solid-State Electrolytes, IEEE Transactions On Nanotechnology, vol.4, issue.3, pp.331-338, 2005.
DOI : 10.1109/TNANO.2005.846936

A. Krizhevsky, I. Sutskever, and G. E. Hinton, Imagenet classification with deep convolutional neural networks, Advances in Neural Information Processing Systems 25, pp.1097-1105, 2012.

G. Ufert and . Muller, Conductive bridging ram (cbram) : an emerging nonvolatile memory technology scalable to sub 20nm, Electron Devices Meeting , 2005. IEDM Technical Digest. IEEE International, pp.754-757, 2005.

S. Lai, Current status of the phase change memory and its future IEDM '03 Technical Digest, Electron Devices Meeting, 2003.

Y. Lecun, L. Bottou, Y. Bengio, and P. Haffner, Gradient-based learning applied to document recognition, Proceedings of the IEEE, vol.86, issue.11, pp.2278-2324, 1998.
DOI : 10.1109/5.726791

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.138.1115

H. Lee, P. Chen, T. Y. Wu, Y. Chen, C. Wang et al., Low power and high speed bipolar switching with a thin reactive Ti buffer layer in robust HfO2 based RRAM, 2008 IEEE International Electron Devices Meeting, pp.1-410, 2008.
DOI : 10.1109/IEDM.2008.4796677

Y. Li, S. Lee, Y. Fong, F. Pan, T. Kuo et al., A 16Gb 3b/Cell NAND Flash Memory in 56nm with 8MB/s Write Rate, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.506-632, 2008.
DOI : 10.1109/ISSCC.2008.4523279/mm1

P. Lichtsteiner, C. Posch, and T. Delbruck, A 128x128 120 db 15 µs latency asynchronous temporal contrast vision sensor, IEEE J JSSC, vol.43, issue.2, pp.566-576, 2007.

B. Linares-barranco, E. Sanchez-sinencio, A. Rodriguez-vazquez, and J. Huertas, A cmos implementation of fitzhugh-nagumo neuron model. Solid-State Circuits, IEEE Journal, vol.26, issue.7, pp.956-965, 1991.

S. Liu, Analog VLSI Circuits for Short-Term Dynamic Synapses, EURASIP Journal on Advances in Signal Processing, vol.2003, issue.7, p.596576, 2003.
DOI : 10.1155/S1110865703302094

URL : http://doi.org/10.1155/s1110865703302094

T. Lømo, Frequency potentiation of excitatory synaptic activity in the dentate area of the hippocampal formation, Acta Physiol. Scand, vol.68, p.28, 1966.

T. Lømo, The discovery of long-term potentiation, Philosophical Transactions of the Royal Society B: Biological Sciences, vol.358, issue.1432, pp.617-620, 1432.
DOI : 10.1098/rstb.2002.1226

D. Lowe, Object recognition from local scale-invariant features, Proceedings of the Seventh IEEE International Conference on Computer Vision, pp.1150-1157, 1999.
DOI : 10.1109/ICCV.1999.790410

W. Maass, Networks of spiking neurons: The third generation of neural network models, Neural Networks, vol.10, issue.9, pp.1659-1671, 1997.
DOI : 10.1016/S0893-6080(97)00011-7

M. Mahowald, An Analog VLSI System for Stereoscopic Vision, 1994.
DOI : 10.1007/978-1-4615-2724-4

M. Mahowald and L. Watts, A spike based learning neuron in analog vlsi, Advances in Neural Information Processing Systems 9, pp.692-698, 1997.

M. A. Mahowald and C. Mead, The silicon retina. j-SCI-AMER, pp.76-82, 1991.

H. Markram, W. Gerstner, and P. J. Sjöström, A history of spike-timing-dependent plasticity, Frontiers in Synaptic Neuroscience, vol.3, issue.4
DOI : 10.3389/fnsyn.2011.00004

G. Marotta, A. Macerola, A. D-'alessandro, A. Torsi, C. Cerafogli et al., A 3bit/cell 32Gb NAND flash memory at 34nm with 6MB/s program throughput and with dynamic 2b/cell blocks configuration mode for a program throughput increase up to 13MB/s, 2010 IEEE International Solid-State Circuits Conference, (ISSCC), pp.444-445, 2010.
DOI : 10.1109/ISSCC.2010.5433949

T. Masquelier and S. J. Thorpe, Unsupervised learning of visual features through spike timing dependent plasticity, PLoS Comput Biol, vol.3, issue.2
URL : https://hal.archives-ouvertes.fr/hal-00135582

N. Mauduit, M. Duranton, J. Gobert, and J. Sirat, Lneuro 1.0: a piece of hardware LEGO for building neural network systems, IEEE Transactions on Neural Networks, vol.3, issue.3, pp.414-422, 1992.
DOI : 10.1109/72.129414

W. S. Mcculloch and W. Pitts, A logical calculus of the ideas immanent in nervous activity, Bulletin of Mathematical Biology, vol.5, issue.4, pp.115-133, 1943.

C. Mead, Adaptive Retina, Analog VLSI Implementation of Neural Systems, pp.239-246, 1989.
DOI : 10.1007/978-1-4613-1639-8_10

C. A. Mead and M. Mahowald, A silicon model of early visual processing, Neural Networks, vol.1, issue.1, pp.91-970893, 1988.
DOI : 10.1016/0893-6080(88)90024-X

P. A. Merolla, J. V. Arthur, R. Alvarez-icaza, A. S. Cassidy, J. Sawada et al., A million spiking-neuron integrated circuit with a scalable communication network and interface, Science, vol.345, issue.6197, pp.345668-673, 2014.
DOI : 10.1126/science.1254642

J. Nagumo, S. Arimoto, and S. Yoshizawa, An Active Pulse Transmission Line Simulating Nerve Axon, Proceedings of the IRE, vol.50, issue.10, pp.2061-2070, 1962.
DOI : 10.1109/JRPROC.1962.288235

I. P. Pavlov and G. V. Anrep, Conditioned reflexes: An investigation of the physiological activity of the cerebral cortex, Annals of neurosciences, vol.17, issue.3, 1927.
DOI : 10.5214/ans.0972-7531.1017309

T. Pfeil, T. C. Potjans, S. Schrader, W. Potjans, J. Schemmel et al., Is a 4-Bit Synaptic Weight Resolution Enough? ??? Constraints on Enabling Spike-Timing Dependent Plasticity in Neuromorphic Hardware, Frontiers in Neuroscience, vol.6, issue.90
DOI : 10.3389/fnins.2012.00090

A. Pirovano, A. Lacaita, A. Benvenuti, F. Pellizzer, and R. Bez, Electronic Switching in Phase-Change Memories, IEEE Transactions on Electron Devices, vol.51, issue.3, pp.452-459, 2004.
DOI : 10.1109/TED.2003.823243

C. Poultney, S. Chopra, and Y. Lecun, Efficient learning of sparse representations with an energy-based model, Advances in Neural Information Processing Systems (NIPS 2006, 2006.

D. Purves and J. Coquery, Neuroscience, Scholarpedia, vol.4, issue.8, 2005.
DOI : 10.4249/scholarpedia.7204

D. Querlioz, O. Bichler, and C. Gamrat, Simulation of a memristor-based spiking neural network immune to device variations, The 2011 International Joint Conference on Neural Networks, pp.1775-1781, 2011.
DOI : 10.1109/IJCNN.2011.6033439

D. Querlioz, O. Bichler, P. Dollfus, and C. Gamrat, Immunity to Device Variations in a Spiking Neural Network With Memristive Nanodevices, IEEE Transactions on Nanotechnology, vol.12, issue.3, pp.288-295, 2013.
DOI : 10.1109/TNANO.2013.2250995

S. Z. Rahaman, S. Maikap, A. Das, A. Prakash, Y. H. Wu et al., Enhanced nanoscale resistive switching memory characteristics and switching mechanism using high-Ge-content Ge0.5Se0.5 solid electrolyte, Nanoscale Research Letters, vol.7, issue.1, p.614, 2012.
DOI : 10.1063/1.2959065

URL : http://doi.org/10.1186/1556-276x-7-614

S. Raoux, G. Burr, M. Breitwisch, C. Rettner, Y. Chen et al., Phase-change random access memory: A scalable technology, IBM Journal of Research and Development, vol.52, issue.4.5, pp.465-479, 2008.
DOI : 10.1147/rd.524.0465

M. Reyboz, S. Onkaraiah, G. Palma, E. Vianello, and L. Perniola, Compact model of a CBRAM cell in Verilog-A, 2012 12th Annual Non-Volatile Memory Technology Symposium Proceedings, pp.94-97, 2013.
DOI : 10.1109/NVMTS.2013.6632872

M. Riesenhuber and T. Poggio, Hierarchical models of object recognition in cortex, Nature Neuroscience, vol.2, pp.1019-1025, 1999.

D. Roclin, Optimum transistor sizing of mtncl threshold gates for various design constraints, 2010.

F. Rosenblatt, Neurocomputing : Foundations of research. chapter The Perception : A Probabilistic Model for Information Storage and Organization in the Brain, pp.89-114, 1988.

T. Sakamoto, S. Kaeriyama, H. Sunamura, M. Mizuno, H. Kawaura et al., A nonvolatile programmable solid electrolyte nanometer switch Digest of Technical Papers. ISSCC, Solid-State Circuits Conference, pp.290-529, 2004.

C. J. Schatz, The Developing Brain, Scientific American, vol.267, issue.3, pp.60-67, 1992.
DOI : 10.1038/scientificamerican0992-60

J. Schemmel, D. Brüderle, A. Grübl, M. Hock, K. Meier et al., A waferscale neuromorphic hardware system for large-scale neural modeling, Circuits and Systems (ISCAS Proceedings of 2010 IEEE International Symposium on, pp.1947-1950, 2010.

C. Schindler, S. Thermadam, R. Waser, and M. Kozicki, Bipolar and Unipolar Resistive Switching in Cu-Doped <formula formulatype="inline"><tex>$ \hbox{SiO}_{2}$</tex></formula>, IEEE Transactions on Electron Devices, vol.54, issue.10, pp.2762-2768, 2007.
DOI : 10.1109/TED.2007.904402

J. Schmidhuber, Multi-column deep neural networks for image classification, Proceedings of the 2012 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), CVPR '12, pp.3642-3649

S. Seo, M. Lee, D. Seo, E. J. Jeoung, D. S. Suh et al., Reproducible resistance switching in polycrystalline NiO films, Applied Physics Letters, vol.85, issue.23, pp.5655-5657, 2004.
DOI : 10.1063/1.1831560

R. Serrano-gotarredona, M. Oster, P. Lichtsteiner, A. Linares-barranco, R. Paz-vicente et al., CAVIAR: A 45k Neuron, 5M Synapse, 12G Connects/s AER Hardware Sensory&#x2013;Processing&#x2013; Learning&#x2013;Actuating System for High-Speed Visual Object Recognition and Tracking, IEEE Transactions on Neural Networks, vol.20, issue.9, pp.1417-143810, 1109.
DOI : 10.1109/TNN.2009.2023653

T. Serre and M. Riesenhuber, Realistic modeling of simple and complex cell tuning in the hmax model, and implications for invariant object recognition in cortex, 2004.

T. Serre, G. Kreiman, M. Kouh, C. Cadieu, U. Knoblich et al., A quantitative theory of immediate visual recognition, PROG BRAIN RES, pp.33-56, 2007.
DOI : 10.1016/S0079-6123(06)65004-8

P. Y. Simard, D. Steinkraus, and J. C. Platt, Best practices for convolutional neural networks applied to visual document analysis, Seventh International Conference on Document Analysis and Recognition, 2003. Proceedings., 2003.
DOI : 10.1109/ICDAR.2003.1227801

K. Siu, V. Roychowdhury, and T. Kailath, Discrete Neural Computation : A Theoretical Foundation. Prentice-Hall information and system sciences series, 1995.

S. C. Smith and J. Di, Designing asynchronous circuits using null convention logic (ncl) Synthesis Lectures on Digital Circuits and Systems, pp.1-96, 2009.

S. Song, K. D. Miller, L. F. Abbott, and N. G. Program, Competitive hebbian learning through spike-timing-dependent synaptic plasticity, 2000.

. Sony, Annual report 2012, special feature ii, 2012.

V. Srinivasan, G. Serrano, C. Twigg, and P. Hasler, A floating-gate-based programmable cmos reference. Circuits and Systems I : Regular Papers, IEEE Transactions on, vol.55, issue.11, pp.3448-3456, 2008.
DOI : 10.1109/tcsi.2008.925351

D. B. Strukov, G. S. Snider, D. R. Stewart, and R. S. Williams, The missing memristor found, Nature, issue.7191, pp.45380-83, 2008.

M. Suri, O. Bichler, D. Querlioz, O. Cueto, L. Perniola et al., Phase change memory as synapse for ultra-dense neuromorphic systems: Application to complex visual pattern extraction, 2011 International Electron Devices Meeting, pp.4-4, 2011.
DOI : 10.1109/IEDM.2011.6131488

URL : https://hal.archives-ouvertes.fr/hal-00799997

M. Suri, D. Garbin, O. Bichler, D. Querlioz, D. Vuillaume et al., Impact of PCM resistance-drift in neuromorphic systems and drift-mitigation strategy, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), pp.140-145, 2013.
DOI : 10.1109/NanoArch.2013.6623059

URL : https://hal.archives-ouvertes.fr/hal-00877744

M. Suri, D. Querlioz, O. Bichler, G. Palma, E. Vianello et al., Bio-Inspired Stochastic Computing Using Binary CBRAM Synapses, IEEE Transactions on Electron Devices, vol.60, issue.7, pp.2402-2409, 2013.
DOI : 10.1109/TED.2013.2263000

URL : https://hal.archives-ouvertes.fr/hal-00871918

S. Tehrani, J. Slaughter, E. Chen, M. Durlam, J. Shi et al., Progress and outlook for MRAM technology, IEEE Transactions on Magnetics, vol.35, issue.5, pp.2814-2819, 1999.
DOI : 10.1109/20.800991

S. Thorpe, Spike arrival times : A highly efficient coding scheme for neural networks. Parallel processing in neural systems, pp.91-94, 1990.

S. Thorpe, D. Fize, and C. Marlot, Speed of processing in the human visual system, Nature, vol.381, issue.6582, p.520, 1996.
DOI : 10.1038/381520a0

S. J. Thorpe and M. Fabre-thorpe, NEUROSCIENCE: Seeking Categories in the Brain, Science, vol.291, issue.5502, pp.260-263, 2001.
DOI : 10.1126/science.1058249

S. J. Thorpe, R. Guyonneau, N. Guilbaud, J. Allegraud, and R. Vanrullen, Spike- Net : real-time visual processing with one spike per neuron, Neurocomputing, issue.0, pp.58-60857, 2004.
DOI : 10.1016/j.neucom.2004.01.138

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.134.882

E. B. Poggio, Generalization in vision and motor control, Nature, vol.46, issue.7010, 2004.
DOI : 10.1162/089976602760805313

K. Tsunoda, Y. Fukuzumi, J. R. Jameson, Z. Wang, P. B. Griffin et al., Bipolar resistive switching in polycrystalline TiO2 films, Applied Physics Letters, vol.90, issue.11, p.113501, 2007.
DOI : 10.1063/1.2712777

D. Van-essen, Organization of visual areas in macaque and human cerebral cortex, The Visual Neurosciences, pp.507-521, 2003.

B. L. Vázquez and E. Antelo, Implementation of the exponential function in a floatingpoint unit Journal of VLSI signal processing systems for signal, image and video technology, pp.125-1451021102104078, 2003.

L. Wan, M. Zeiler, S. Zhang, Y. L. Cun, and R. Fergus, Regularization of neural networks using dropconnect, Proceedings of the 30th International Conference on Machine Learning (ICML-13), pp.1058-1066, 2013.

B. A. Wandell, S. O. Dumoulin, and A. A. Brewer, Visual Field Maps in Human Cortex, Neuron, vol.56, issue.2, pp.366-383, 2007.
DOI : 10.1016/j.neuron.2007.10.012

R. Waser and M. Aono, Nanoionics-based resistive switching memories, Nature materials, vol.6, issue.11, pp.833-840, 2007.
DOI : 10.1142/9789814287005_0016

H. Wong, S. Raoux, S. Kim, J. Liang, J. P. Reifenberg et al., Phase Change Memory, Proceedings of the IEEE, pp.2201-2227, 2010.
DOI : 10.1109/JPROC.2010.2070050

H. Wong, H. Lee, S. Yu, Y. Chen, Y. Wu et al., Metal&#x2013;Oxide RRAM, Proceedings of the IEEE, vol.100, issue.6, pp.1951-1970, 2012.
DOI : 10.1109/JPROC.2012.2190369

H. S. Wong, S. Raoux, S. Kim, J. Liang, J. P. Reifenberg et al., Phase Change Memory, Proceedings of the IEEE, pp.2201-2227, 2010.
DOI : 10.1109/JPROC.2010.2070050

Y. Yang, P. Gao, S. Gaba, T. Chang, X. Pan et al., Observation of conducting filament growth in nanoscale resistive memories, Nature Communications, vol.56, p.732, 2012.
DOI : 10.1038/ncomms1737

M. Yasunaga, N. Masuda, M. Yagyu, M. Asai, M. Yamada et al., Design, fabrication and evaluation of a 5-inch wafer scale neural network LSI composed on 576 digital neurons, 1990 IJCNN International Joint Conference on Neural Networks, pp.527-535, 1990.
DOI : 10.1109/IJCNN.1990.137618

S. Yu and H. S. Wong, Modeling the switching dynamics of programmablemetallization-cell (pmc) memory and its application as synapse device for a neuromorphic computation system, Electron Devices Meeting (IEDM), 2010.

S. Yu and H. S. Wong, Compact modeling of conducting-bridge random-access memory (cbram) Electron Devices, IEEE Transactions on, vol.58, issue.5, pp.1352-1360, 2011.