]. C. Références, N. Aggarwal, A. Ashish, and . Sheth, London Stock Exchange Group Annual Report Managing and Mining Sensor Data Connected objects and the Internet of things ? A paradigm shift, 2009 International Conference on Photonics in Switching, pp.383-428, 2009.

M. Swan and G. E. Moore, The Quantified Self: Fundamental Disruption in Big Data Science and Biological Discovery Cramming more components onto integrated circuits, Biotechnology: DIY biology. Nature Big Data, vol.472, issue.1 2 19, pp.167-85, 1965.

G. E. Moore, Progress In Digital Integrated Electronics Executive Summary, Impact de l'environnement du diélectrique sur les performances du transistor pour les noeuds technologiques de 32 nm à 14 nm, pp.11-13, 1975.

L. L. Chapelon, H. Chaabouni, G. Imbert, P. Brun, M. Mellier et al., Dense SiOC cap for damage-less ultra low k integration with direct CMP in C45 architecture and beyond, Microelectronic Engineering, vol.85, issue.10, pp.2098-2101, 2008.
DOI : 10.1016/j.mee.2008.04.043

URL : https://hal.archives-ouvertes.fr/hal-00466362

K. Hamioud, V. Arnal, A. Farcy, V. Jousseaume, A. Zenasni et al., 32nm node BEOL integration with an extreme low-k porous SiOCH dielectric k=2.3, Microelectronic Engineering, vol.87, issue.3, pp.316-320, 2010.
DOI : 10.1016/j.mee.2009.07.008

D. Kioussis, E. Ryan, and A. Madan, Optimization of porous ultra low-? dielectrics (?? 2.55) for 28nm generation, IITC, 2011.

D. Monroe and J. M. Hergenrother, Challenges of gate-dielectric scaling, including the vertical replacement-gate MOSFET, AIP Conference Proceedings, pp.97-104, 2001.
DOI : 10.1063/1.1354379

G. Dubois and W. Volksen, Low-k Materials: Recent Advances, " in Advanced Interconnects for ULSI Technology, 2012.

S. Arnal, S. Olivier, M. Moreau, T. Mellier, G. Chevolleau et al., 300 mm Multi Level Air Gap Integration for Edge Interconnect Technologies and Specific High Performance Applications, International Interconnect Technology Conference, pp.196-198, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00398957

K. Maex, M. R. Baklanov, D. Shamiryan, F. Lacopi, S. H. Brongersma et al., Low dielectric constant materials for microelectronics, Journal of Applied Physics, vol.93, issue.11, p.8793, 2003.
DOI : 10.1063/1.1567460

M. R. Baklanov, K. P. Mogilnikov, and Q. T. Le, Quantification of processing damage in porous low dielectric constant films, Microelectronic Engineering, vol.83, issue.11-12, pp.11-12, 2006.
DOI : 10.1016/j.mee.2006.10.019

M. Aimadeddine, Intégration et caractérisation de diélectriques poreux à très basse permittivité pour les interconnexions des circuits cmos sub-45nm, 2008.

M. R. Baklanov and K. Maex, Porous low dielectric constant materials for microelectronics, Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, vol.87, issue.2, pp.201-215, 2006.
DOI : 10.1021/la035384w

K. Chan, K. Yim, and V. Nguyen, Structural evolution of nano-porous ultra-low-k dielectrics under broadband UV curing, Adv. Met. Conf. Proc, pp.489-494, 2007.

A. A. Lubguban, J. A. Lubguban, M. T. Othman, R. V. Shende, S. Gangopadhyay et al., Supercritical CO2/co-solvent extraction of porogens and surfactant templates to obtain ultralow dielectric constant films, Thin Solid Films, vol.516, issue.15, pp.4733-4741, 2008.
DOI : 10.1016/j.tsf.2007.08.099

W. Puyrenier, Etude d'un matériau diélectrique poreux de type SiOCH. Effet des posttraitements plasma et de nettoyage et intégration, thèse de doctorat Université Montpellier 2, 2007.

W. Puyrenier, V. Rouessac, L. Broussous, D. , and A. Ayral, Effect of plasma treatments on a porous low-k material ??? Study of pore sealing, Microporous and Mesoporous Materials, vol.106, issue.1-3, pp.1-3, 2007.
DOI : 10.1016/j.micromeso.2007.02.009

L. Broussous, W. Puyrenier, D. Rebiscoul, V. Rouessac, and A. Ayral, Porosity and structure evolution of a SiOCH low k material during post-etch cleaning process, Microelectronic Engineering, vol.84, issue.11, pp.2600-2605, 2007.
DOI : 10.1016/j.mee.2007.07.001

URL : https://hal.archives-ouvertes.fr/hal-00202919

. Bouyssou, Traitements plasmas post gravure pour l ' intégration des matériaux SiOCH poreux dans les interconnexions en microélectronique, 2009.

S. Zimmermann, N. Ahner, F. Blaschta, M. Schaller, H. Rülke et al., Analysis of the impact of different additives during etch processes of dense and porous low-k with OES and QMS, Microelectronic Engineering, vol.87, issue.3, pp.337-342, 2010.
DOI : 10.1016/j.mee.2009.08.004

M. Aimadeddine, V. Arnal, A. Farcy, C. Guedj, T. Chevolleau et al., Impact of patterning and ashing on electrical properties and reliability of interconnects in a porous SiOCH ultra low-k dielectric material, Microelectronic Engineering, vol.82, issue.3-4, pp.3-4, 2005.
DOI : 10.1016/j.mee.2005.07.015

M. Kuo and G. S. Oehrlein, Surface and near-surface modifications of ultralow dielectric constant materials exposed to plasmas under sidewall-like conditions, Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol.28, issue.6, p.1104, 2010.
DOI : 10.1116/1.3499271

M. Darnon, Les Procédés par Plasmas Impliqués dans l ' Intégration des Matériaux SiOCH Poreux pour les Interconnexions en Microélectronique, 2007.

M. A. Goldman, D. B. Graves, G. A. Antonelli, S. P. Behera, and J. A. Kelber, Oxygen radical and plasma damage of low-k organosilicate glass materials: Diffusion-controlled mechanism for carbon depletion, Journal of Applied Physics, vol.106, issue.1, pp.1331-013311, 2009.
DOI : 10.1063/1.3168428

N. Posseme, R. Bouyssou, T. Chevolleau, T. David, V. Arnal et al., Residue growth on metallic hard mask after dielectric etching in fluorocarbon based plasmas. II. Solutions, Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol.29, issue.1, p.11018, 2011.
DOI : 10.1116/1.3527073

URL : https://hal.archives-ouvertes.fr/hal-00625286

H. Sinha, G. A. Antonelli, G. Jiang, Y. Nishi, and J. L. Shohet, Effects of vacuum ultraviolet radiation on deposited and ultraviolet-cured low-k porous organosilicate glass, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.29, issue.3, p.30602, 2011.
DOI : 10.1116/1.3570818

H. Sinha, M. T. Nichols, A. Sehgal, M. Tomoyasu, N. M. Russell et al., Effect of vacuum ultraviolet and ultraviolet irradiation on mobile charges in the bandgap of low-k-porous organosilicate dielectrics, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.29, issue.1, p.10601, 2011.
DOI : 10.1116/1.3520433

L. Broussous, O. Hinsinger, S. Favier, and P. Besson, Post-Etch Cleaning Chemistries Evaluation for Low k-Copper Integration, Solid State Phenomena, vol.92, pp.263-266, 2003.
DOI : 10.4028/www.scientific.net/SSP.92.263

D. M. Knotter, Etching Mechanism of Vitreous Silicon Dioxide in HF-Based Solutions, Journal of the American Chemical Society, vol.122, issue.18, pp.4345-4351, 2000.
DOI : 10.1021/ja993803z

N. Inoue, F. Ito, H. Shobha, S. Gates, E. T. Ryan et al., UV cure impact on robust low-k with sub-nm pores and high carbon content for high performance Cu/low-k BEOL modules, 2013 IEEE International Interconnect Technology Conference, IITC, pp.1-3, 2013.
DOI : 10.1109/IITC.2013.6615590

C. Pernel, J. Farkas, and D. Louis, Copper in organic acid based cleaning solutions, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.5, p.2467, 2006.
DOI : 10.1116/1.2335866

D. Rébiscoul, B. Puyrenier, L. Broussous, D. Louis, and G. Passemard, Study of the post-etch cleaning compatibility with dense and porous ULK materials ??? characterization of the process impact, Microelectronic Engineering, vol.83, issue.11-12, pp.11-12, 2006.
DOI : 10.1016/j.mee.2006.10.025

H. Chaabouni, Etude de traitements de restauration et d'architectures alternatives pour l'intégration des matériaux diélectriques SiOCH poreux dans les interconnexions en microélectronique, 2010.

S. Gates, S. Papa-rao, V. Anandan, M. Krishnan, S. Cohen et al., Effects of chemical mechanical polishing on a porous SiCOH dielectric, Microelectronic Engineering, vol.91, pp.82-88, 2012.
DOI : 10.1016/j.mee.2011.08.005

C. Dubois, A. Sylvestre, H. Chaabouni, and A. Farcy, Impact of the CMP process on the electrical properties of ultra low k porous SiOCH, Microelectronic Engineering, vol.87, issue.3, pp.333-336, 2010.
DOI : 10.1016/j.mee.2009.07.029

URL : https://hal.archives-ouvertes.fr/hal-00626789

C. Dubois, Evaluation des performances isolantes de couches poreuses de SiOCH et de polymères destinés aux technologies d ' intégration innovantes, thèse de doctorat G2Elab, 2011.

M. Fayolle, G. Passemard, O. Louveau, F. Fusalba, and J. Cluzel, Challenges of back end of the line for sub 65 nm generation, Microelectronic Engineering, vol.70, issue.2-4, pp.2-4, 2003.
DOI : 10.1016/S0167-9317(03)00467-2

T. Mourier, V. Jousseaume, F. Fusalba, C. Lecornec, P. Maury et al., Porous low k pore sealing process study for 65 nm and below technologies, Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695), pp.245-247
DOI : 10.1109/IITC.2003.1219766

T. Oszinda, M. Schaller, D. Fischer, C. Walsh, and S. E. Schulz, Investigation of physical and chemical property changes of ultra low-?? SiOCH in aspect of cleaning and chemical repair processes, Microelectronic Engineering, vol.87, issue.3, pp.457-461, 2010.
DOI : 10.1016/j.mee.2009.06.028

N. Posseme, T. Chevolleau, T. David, M. Darnon, J. P. Barnes et al., Efficiency of reducing and oxidizing ash plasmas in preventing metallic barrier diffusion into porous SiOCH, Microelectronic Engineering, vol.85, issue.8, pp.1842-1849, 2008.
DOI : 10.1016/j.mee.2008.05.028

URL : https://hal.archives-ouvertes.fr/hal-00387514

A. M. Urbanowicz, K. Vanstreels, P. Verdonck, D. Shamiryan, S. De-gendt et al., Improving mechanical robustness of ultralow-k SiOCH plasma enhanced chemical vapor deposition glasses by controlled porogen decomposition prior to UV-hardening, Journal of Applied Physics, vol.107, issue.10, p.104122, 2010.
DOI : 10.1063/1.3428958

J. Shoeb and M. J. Kushner, plasma treatment, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.29, issue.5, p.51305, 2011.
DOI : 10.1116/1.3626534

A. M. Urbanowicz, M. Cremel, K. Vanstreels, and D. Shamiryan, Mechanism of k-value Reduction of PECVD Low-k Films Treated with He / H2 Ash Plasma, PESM, pp.4-5, 2010.

M. R. Baklanov, J. De-marneffe, D. Shamiryan, A. M. Urbanowicz, H. Shi et al., Plasma processing of low-k dielectrics, Journal of Applied Physics, vol.113, issue.4, p.41101, 2013.
DOI : 10.1063/1.4765297

B. Lahlouh, J. A. Lubguban, G. Sivaraman, R. Gale, and S. Gangopadhyay, Silylation Using a Supercritical Carbon Dioxide Medium to Repair Plasma-Damaged Porous Organosilicate Films, Electrochemical and Solid-State Letters, vol.7, issue.12, pp.338-341, 2004.
DOI : 10.1149/1.1819876

B. Gorman, R. Orozco-teran, and Z. Zhang, Rapid repair of plasma ash damage in low-k dielectrics using supercritical CO[sub 2], Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.3, pp.1-12, 2004.
DOI : 10.1116/1.1755220

B. Xie and A. J. Muscat, Silylation of porous methylsilsesquioxane films in supercritical carbon dioxide, Microelectronic Engineering, vol.76, issue.1-4, pp.52-59, 2004.
DOI : 10.1016/j.mee.2004.07.028

B. Xie, L. Choate, and A. J. Muscat, Repair and capping of porous MSQ films using chlorosilanes and supercritical CO 2, Microelectronic Engineering, pp.349-352, 2005.

B. Xie and A. J. Muscat, The restoration of porous methylsilsesquioxane (p-MSQ) films using trimethylhalosilanes dissolved in supercritical carbon dioxide, Microelectronic Engineering, vol.82, issue.3-4, pp.3-4, 2005.
DOI : 10.1016/j.mee.2005.07.027

J. M. Jung, H. S. Kwon, W. Lee, B. Choi, H. G. Kim et al., Repair of plasma-damaged p-SiOCH dielectric films in supercritical CO2, Microelectronic Engineering, vol.87, issue.9, pp.1680-1684, 2010.
DOI : 10.1016/j.mee.2009.11.049

M. T. Othman, Spectroscopic Ellipsometry Analysis of Nanoporous Low Dielectric Constant Films Processed via Supercritical CO2 for Next-generation Microelectronic Devices, 2007.

V. Fossati, T. Arnal, O. Chevolleau, J. Joubert, and . Torres, Sidewall restoration of porous ultra low-k dielectrics for sub-45nm technology nodes, Microelectron. Eng, vol.84, issue.11, pp.2595-2599, 2007.

T. Oszinda, M. Schaller, and L. Gerlich, Electrical property improvements of ultra low-k ILD using a silylation process feasible for process integration, IEEE, vol.1, pp.1-3, 2011.

S. Nagano, K. Sakoda, S. Hasaka, and K. Kobayashi, Internal Repair for Plasma Damaged Lowk Films by Methylating Chemical Vapor, Jpn. J. Appl. Phys, vol.50, issue.5, pp.1-22, 2011.

J. Liu, W. Kim, J. Bao, H. Shi, W. Baek et al., Restoration and pore sealing of plasma damaged porous organosilicate low k dielectrics with phenyl containing agents, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.25, issue.3, p.906, 2007.
DOI : 10.1116/1.2738489

T. Oszinda, Characterization and chemical recovery of plasma damaged porous low-k SiOCH dielectric for the semiconductor industry, 2012.

F. Rouquerol, L. Luciani, P. Llewellyn, R. Denoyel, and J. , Texture des matériaux pulvérulents, dans Analyses de surface et de matériaux, Techniques de l'ingénieur, 2003.

J. Rouquerol, G. Baron, R. Denoyel, H. Giesche, J. Groen et al., Liquid intrusion and alternative methods for the characterization of macroporous materials, IUPAC Technical Report) Pure Appl. Chem, vol.84, issue.1, pp.107-136, 2012.

K. S. Sing, Reporting physisorption data for gas/solid systems with special reference to the determination of surface area and porosity (Provisional), Pure and Applied Chemistry, vol.54, issue.11, pp.603-619, 1984.
DOI : 10.1351/pac198254112201

B. Coasne, Adsorption et Condensation de Fluides Simples dans le Silicium Mésoporeux : Une Approche Expérimentale et par Simulation Monte Carlo, 2003.

A. Grosman and C. Ortega, Influence of elastic deformation of porous materials in adsorption-desorption process: A thermodynamic approach, Physical Review B, vol.78, issue.8, pp.1-12, 2008.
DOI : 10.1103/PhysRevB.78.085433

URL : https://hal.archives-ouvertes.fr/hal-00316163

A. Ayral and V. Rouessac, Techniques innovantes pour la caractérisation microstructurale de couches minces, 2006.

C. Licitra and F. Ferrieu, Ellipsométrie UV appliquée aux high-k, 2009.

M. M. Dubinin, E. D. Zaverina, and L. Radushkevich, Sorption and structure of active carbons. I. Adsorption of organic vapors, Zhurnal Fiz. Khimii, 1947.

M. M. Dubinin, Physical Adsorption of Gases and Vapors in Micropores, Prog. Surf. Membr. Sci. vol, vol.9, pp.1-70, 1975.
DOI : 10.1016/B978-0-12-571809-7.50006-1

S. G. Chen and R. T. Yang, Theoretical Basis for the Potential Theory Adsorption Isotherms. The Dubinin-Radushkevich and Dubinin-Astakhov Equations, Langmuir, vol.10, issue.11, pp.4244-4249, 1994.
DOI : 10.1021/la00023a054

J. Pires, M. L. Pinto, and J. M. , Heats of adsorption from the Dubinin-Astakhov model applied to??the characterization of pillared interlayered clays (PILCs), Adsorption, vol.298, issue.2, pp.287-292, 2010.
DOI : 10.1007/s10450-010-9283-5

G. Horvàth and K. Kawazoe, Method for the calculation of effective pore size distribution in molecular sieve carbon., Journal of Chemical Engineering of Japan, vol.16, issue.6, pp.470-475, 1983.
DOI : 10.1252/jcej.16.470

A. Saito and H. C. Foley, Curvature and parametric sensitivity in models for adsorption in micropores, AIChE Journal, vol.37, issue.3, pp.429-436, 1991.
DOI : 10.1002/aic.690370312

A. Saito and H. C. Foley, High-resolution nitrogen and argon adsorption on ZSM-5 zeolites: effects of cation exchange and ratio, Microporous Materials, vol.3, issue.4-5, pp.4-5, 1995.
DOI : 10.1016/0927-6513(94)00064-3

L. S. Cheng, Y. Ralph, and T. , Improved Horvath???Kawazoe equations including spherical pore models for calculating micropore size distribution, Chemical Engineering Science, vol.49, issue.16, pp.2599-2609, 1994.
DOI : 10.1016/0009-2509(94)E0054-T

S. Lowell, Characterization of Porous Solids and Powders: Surface Area, Pore Size and Density, p.347, 2004.
DOI : 10.1007/978-1-4020-2303-3

R. S. Mikhail, S. Brunauer, and E. Bodor, Investigations of a complete pore structure analysis, Journal of Colloid and Interface Science, vol.26, issue.1, pp.54-61, 1968.
DOI : 10.1016/0021-9797(68)90271-3

J. Hagymassy, S. Brunauer, and R. S. Mikhail, Pore structure analysis by water vapor adsorption, Journal of Colloid and Interface Science, vol.29, issue.3, pp.485-491, 1969.
DOI : 10.1016/0021-9797(69)90132-5

J. H. De-boer, J. C. Broekhoff, B. G. Linsen, and A. L. Meijer, Studies on pore systems in catalysts VIII. The adsorption of krypton and of nitrogen on graphitized carbon, J. Catal, vol.7, issue.2, pp.485-491, 1967.

H. Naono, M. Hakuman, and K. Nakai, Determination of Pore Size Distribution of Mesoporous and Macroporous Silicas by Means of Benzene-Desorption Isotherms, Journal of Colloid and Interface Science, vol.165, issue.2, pp.532-535, 1994.
DOI : 10.1006/jcis.1994.1260

R. S. Mikhail, S. A. Selim, and F. Shebl, Investigation on the t-curves of organic molecules as applied to porous solids, Egypt. J. Chem, vol.19, issue.3, pp.405-415, 1976.

G. Linsen, Physical and chemical aspects of adsorbents and catalyst, p.650, 1970.

S. Lowell and J. E. Shields, Powder Surface Area and Porosity, Seconde édition, Chapman an, 1984.

F. Salah, B. Harzallah, and . Van-der-lee, Data reduction practice in X-ray reflectometry, Journal of Applied Crystallography, vol.40, issue.5, pp.813-819, 2007.
DOI : 10.1107/S0021889807030403

A. Van-der-lee, F. Salah, and B. Harzallah, A comparison of modern data analysis methods for X-ray and neutron specular reflectivity data, Journal of Applied Crystallography, vol.40, issue.5, pp.820-833, 2007.
DOI : 10.1107/S0021889807032207

URL : https://hal.archives-ouvertes.fr/hal-00170057

A. Van-der-lee, Diffusion, diffraction, réflectométrie et fluorescence de rayons-X à la PAC

C. L. Soles, H. Lee, E. K. Lin, and W. Wu, Pore Characterization in Low-k Dielectric Films Using X-ray Reflectivity: X-ray Porosimetry, 2004.

V. Rouessac, A. Van-der-lee, F. Bosc, J. Durand, and A. Ayral, Three characterization techniques coupled with adsorption for studying the nanoporosity of supported films and membranes, Microporous and Mesoporous Materials, vol.111, issue.1-3, pp.1-3, 2008.
DOI : 10.1016/j.micromeso.2007.08.033

URL : https://hal.archives-ouvertes.fr/hal-00273915

D. Rébiscoul, L. Broussous, W. Puyrenier, V. Rouessac, A. Ayral et al., Study of solvent penetration inside a porous low k material by neutron reflectometry ??? Influence of material surface modifications and of solvent properties, Microelectronic Engineering, vol.85, issue.10, pp.2089-2093, 2008.
DOI : 10.1016/j.mee.2008.03.010

M. Liu, Pore characterization of ultralow-k dielectric thin films using positronium annihilation spectroscopy, 2008.

R. Feynman, The Theory of Positrons, Physical Review, vol.76, issue.6, pp.749-759, 1949.
DOI : 10.1103/PhysRev.76.749

Y. C. Jean, P. E. Mallon, and D. M. Schrader, Principles and Applications of Positron & Positronium Chemistry, p.406, 2002.
DOI : 10.1142/5086

J. Sun, D. W. Gidley, T. L. Dull, W. E. Frieze, A. F. Yee et al., thin films using positron annihilation lifetime spectroscopy, Journal of Applied Physics, vol.89, issue.9, p.5138, 2001.
DOI : 10.1063/1.1360704

Y. Oka, A. Uedono, K. Goto, Y. Hirose, M. Matsuura et al., Structure- Modification Model of Porogen-Based Porous SiOC Film with Ultraviolet Curing, Jpn. J. Appl. Phys, vol.50, issue.5, pp.5-06, 2011.

A. Uedono, N. Inoue, and Y. Hayashi, Damage characterization of low-k layers through Cu damascene process using monoenergetic positron beams, 2010 IEEE International Interconnect Technology Conference, pp.9-11, 2010.
DOI : 10.1109/IITC.2010.5510696

R. Krause-rehberg and H. S. Leipner, Positron Annihilation in Semiconductors: Defect Studies, p.383, 1999.
DOI : 10.1007/978-3-662-03893-2

S. J. Tao, Positronium Annihilation in Molecular Substances, The Journal of Chemical Physics, vol.56, issue.11, p.5499, 1972.
DOI : 10.1063/1.1677067

M. Eldrup, D. Lightbody, and J. N. Sherwood, The temperature dependence of positron lifetimes in solid pivalic acid, Chemical Physics, vol.63, issue.1-2, pp.51-58, 1981.
DOI : 10.1016/0301-0104(81)80307-2

D. W. Gidley, W. E. Frieze, T. L. Dull, J. N. Sun, and A. F. Yee, Probing Pore Characteristics in Low-K Thin Films Using Positronium Annihilation Lifetime Spectroscopy, MRS Proceedings, vol.103, pp.1-11, 2000.
DOI : 10.1063/1.1677067

G. Renaud, R. Lazzari, and F. Leroy, Probing surface and interface morphology with Grazing Incidence Small Angle X-Ray Scattering, Surface Science Reports, vol.64, issue.8, pp.255-380, 2009.
DOI : 10.1016/j.surfrep.2009.07.002

URL : https://hal.archives-ouvertes.fr/hal-01442842

O. Fagart, Mémoire de Diplôme de Recherche Technologique : Développement d'une méthode de mesures de porosité pour les échantillons de la microélectronique : la diffusion des rayons X aux petits angles en incidence rasante, Grenoble, 2004.

S. S. Soni, G. Brotons, M. Bellour, T. Narayanan, and A. Gibaud, Quantitative SAXS Analysis of the P123/Water/Ethanol Ternary Phase Diagram, The Journal of Physical Chemistry B, vol.110, issue.31, pp.15157-65, 2006.
DOI : 10.1021/jp062159p

R. Bouyssou, M. Kodadi, C. Licitra, T. Chevolleau, M. Besacier et al., Scatterometric porosimetry: A new characterization technique for porous material patterned structures, Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol.28, issue.4, p.31, 2010.
DOI : 10.1116/1.3457489

URL : https://hal.archives-ouvertes.fr/hal-00623446

C. Licitra, R. Bouyssou, M. Kodadi, G. Haberfehlner, T. Chevolleau et al., Application of scatterometric porosimetry to characterize porous ultra low-k patterned layers, Thin Solid Films, vol.519, issue.9, pp.2825-2829, 2011.
DOI : 10.1016/j.tsf.2010.12.114

URL : https://hal.archives-ouvertes.fr/hal-00647414

R. Hurand, Caractérisation de structures de matériaux poreux à faible constante diélectrique par porosimétrie scatterométrique, 2013.

C. E. Shannon, A Mathematical Theory of Communication, Bell System Technical Journal, vol.27, issue.3, pp.379-423, 1948.
DOI : 10.1002/j.1538-7305.1948.tb01338.x

W. A. Steele, The interaction of gases with solid surfaces, Pergamon P, p.349, 1974.

M. Planck, Ueber das Gesetz der Energieverteilung im Normalspectrum, Annalen der Physik, vol.2, issue.3, pp.553-563, 1901.
DOI : 10.1002/andp.19013090310

J. W. Gibbs, Elementary principles in statistical mechanics developed with especial reference to the rational foundation of thermodynamics, 1902.
DOI : 10.5962/bhl.title.32624

W. J. Grandy, Foundations of statistical mechanics, p.380, 1987.

W. Kohn, Nobel Lecture: Electronic structure of matter???wave functions and density functionals, Reviews of Modern Physics, vol.71, issue.5, 1999.
DOI : 10.1103/RevModPhys.71.1253

N. Metropolis, A. W. Rosenbluth, M. N. Rosenbluth, A. H. Teller, and E. Teller, Equation of State Calculations by Fast Computing Machines, The Journal of Chemical Physics, vol.21, issue.6, p.1087, 1953.
DOI : 10.1063/1.1699114

H. J. Berendsen, J. P. Postma, W. F. Gunsteren, and J. Hermans, Interaction Models for Water in Relation to Protein Hydration, Intermolecular Forces, B. Pullman, Ed. Reidel, pp.331-342, 1981.
DOI : 10.1007/978-94-015-7658-1_21

J. J. De-pablo, J. M. Prausnitz, H. J. Strauch, and P. T. Cummings, Molecular simulation of water along the liquid???vapor coexistence curve from 25?????C to the critical point, The Journal of Chemical Physics, vol.93, issue.10, p.7355, 1990.
DOI : 10.1063/1.459409

T. Schnabel, A. Srivastava, J. Vrabec, and H. Hasse, H NMR Spectroscopic Data and Molecular Simulation Results, The Journal of Physical Chemistry B, vol.111, issue.33, pp.9871-9878, 2007.
DOI : 10.1021/jp0720338

W. L. Jorgensen, D. S. Maxwell, and J. Tirado-rives, Development and Testing of the OPLS All-Atom Force Field on Conformational Energetics and Properties of Organic Liquids, Journal of the American Chemical Society, vol.118, issue.45, pp.11225-11236, 1996.
DOI : 10.1021/ja9621760

R. Q. Snurr, A. T. Bell, and D. N. Theodorou, Carlo Simulations with Biased Insertions L -m, pp.13742-13752, 1993.

H. A. Lorentz, Ueber die Anwendung des Satzes vom Virial in der kinetischen Theorie der Gase, Annalen der Physik, vol.12, issue.1, pp.127-136
DOI : 10.1002/andp.18812480110

D. Berthelot, Sur le mélange des gaz Comptes rendus l, Académie des Sci, 1889.

S. Eslava, F. Iacopi, M. R. Baklanov, C. E. Kirschhock, K. Maex et al., Ultraviolet-Assisted Curing of Polycrystalline Pure-Silica Zeolites:?? Hydrophobization, Functionalization, and Cross-Linking of Grains, Journal of the American Chemical Society, vol.129, issue.30, pp.9288-9297, 2007.
DOI : 10.1021/ja0723737

C. Esnouf, Caractérisation microstructurale des matériaux : Analyse par les rayonnements X et électronique, p.579, 2011.

S. Brunauer, P. H. Emmett, and E. Teller, Adsorption of Gases in Multimolecular Layers, Journal of the American Chemical Society, vol.60, issue.2, pp.309-319, 1938.
DOI : 10.1021/ja01269a023

G. Halsey, Physical Adsorption on Non???Uniform Surfaces, The Journal of Chemical Physics, vol.16, issue.10, p.931, 1948.
DOI : 10.1063/1.1746689

T. L. Hill, Theory of Physical Adsorption, Adv. Catal, vol.4, pp.211-258, 1952.
DOI : 10.1016/S0360-0564(08)60615-X

F. Rouessac and A. Rouessac, Chemical Analysis, Modern Instrumentation Methods and Techniques, 2007.

K. Nakanishi and P. H. Solomon, Infrared absorption spectroscopy, 1977.

T. Oszinda, V. Beyer, M. Schaller, D. Fischer, C. Bartsch et al., Improved characterization of Fourier transform infrared spectra analysis for post-etched ultra-low-?? SiOCH dielectric using chemometric methods, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.27, issue.1, p.521, 2009.
DOI : 10.1116/1.3043466

N. Rochat, M. Olivier, A. Chabli, F. Conne, and G. Lefeuvre, Multiple internal reflection infrared spectroscopy using two-prism coupling geometry: A convenient way for quantitative study of organic contamination on silicon wafers, Applied Physics Letters, vol.77, issue.14, pp.2249-2251, 2000.
DOI : 10.1063/1.1314885

M. Olivier, N. Rochat, G. Chabli, F. Lefeuvre, and . Conne, Multiple internal reflection spectroscopy: a sensitive non-destructive probe for interfaces and nanometric layers, Materials Science in Semiconductor Processing, vol.4, issue.1-3
DOI : 10.1016/S1369-8001(00)00167-0

M. Milosevic, Internal Reflection and ATR Spectroscopy, Applied Spectroscopy Reviews, vol.24, issue.3, pp.365-384, 2004.
DOI : 10.1366/0003702834634776

A. Grill and D. Neumayer, Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization, Journal of Applied Physics, vol.94, issue.10, p.6697, 2003.
DOI : 10.1063/1.1618358

S. Hediger, B. H. Meier, and R. R. Ernst, Adiabatic passage Hartmann-Hahn cross polarization in NMR under magic angle sample spinning, Chemical Physics Letters, vol.240, issue.5-6, pp.449-456, 1995.
DOI : 10.1016/0009-2614(95)00505-X

D. R. Lide, CRC Handbook of Chemistry and Physics, Handb. Chem. Phys, vol.53, p.2616, 2003.

O. Gourhant, G. Gerbaud, A. Zenasni, L. Favennec, P. Gonon et al., Crosslinking of porous SiOCH films involving Si???O???C bonds: Impact of deposition and curing, Journal of Applied Physics, vol.108, issue.12, p.124105, 2010.
DOI : 10.1063/1.3518512

URL : https://hal.archives-ouvertes.fr/hal-00609945

A. J. Vega, Heteronuclear chemical-shift correlations of silanol groups studied by two-dimensional cross-polarization magic angle spinning NMR, Journal of the American Chemical Society, vol.110, issue.4, pp.1049-1054, 1988.
DOI : 10.1021/ja00212a008

A. Bielecki, A. C. Kolbert, and M. H. Levitt, Frequency-switched pulse sequences: Homonuclear decoupling and dilute spin NMR in solids, Chemical Physics Letters, vol.155, issue.4-5, pp.4-5, 1989.
DOI : 10.1016/0009-2614(89)87166-0

J. , D. Baerdemaeker, and C. Dauwe, Development and application of the Ghent pulsed positron beam, Appl. Surf. Sci, vol.194, issue.14, pp.52-55, 2002.

M. Yitzhak, The Properties of Solvents, 1999.

V. Jousseaume, G. Rolland, D. Babonneau, and J. Simon, Structural study of nanoporous ultra low-k dielectrics using complementary techniques: Ellipsometric porosimetry, X-ray reflectivity and grazing incidence small-angle X-ray scattering, Applied Surface Science, vol.254, issue.2, pp.473-479, 2007.
DOI : 10.1016/j.apsusc.2007.06.043

URL : https://hal.archives-ouvertes.fr/hal-00206321

M. Maret, F. Liscio, D. Makarov, J. Simon, Y. Gauthier et al., (0001) studied by grazing-incidence small-angle X-ray scattering, Journal of Applied Crystallography, vol.346, issue.6, pp.1173-1181, 2011.
DOI : 10.1107/S002188981104115X

URL : https://hal.archives-ouvertes.fr/hal-00664724

W. Smith, I. T. Forester, and . Todorov, The DL_POLY Classic User Manual, Version 1.0., STFC Dares, 2010.

A. Carré, J. Horbach, S. Ispas, and W. Kob, New fitting scheme to obtain effective potential from Car-Parrinello molecular-dynamics simulations: Application to silica, EPL (Europhysics Letters), vol.82, issue.1, p.17001, 2008.
DOI : 10.1209/0295-5075/82/17001

J. L. Halary, F. Laupretre, and L. Monnerie, Polymer Materials: Macroscopic Properties and Molecular Interpretations (Google eBook), p.432, 2011.

D. Nicholson and N. G. Parsonage, Computer Simulation and the Statistical Mechanics of Adsorption, Academic P, 1982.

C. Belgardt, H. Graaf, T. Baumgärtel, and C. Borczyskowski, Self-assembled monolayers on silicon oxide, physica status solidi (c), vol.7, issue.2, pp.227-231, 2010.
DOI : 10.1002/pssc.200982471

L. Favennec, V. Jousseaume, G. Gerbaud, A. Zenasni, and G. Passemard, Ultralow k using a plasma enhanced chemical vapor deposition porogen approach: Matrix structure and porogen loading influences, Journal of Applied Physics, vol.102, issue.6, p.64107, 2007.
DOI : 10.1063/1.2783963

D. R. Anderson, Infrared, Raman and Ultraviolet Spectroscopy, Analysis of Silicones, 1974.

G. Gerbaud, S. Hediger, M. Bardet, L. Favennec, A. Zenasni et al., Spin-coated and PECVD low dielectric constant porous organosilicate films studied by 1D and 2D solid-state NMR, Physical Chemistry Chemical Physics, vol.25, issue.42, p.9729, 2009.
DOI : 10.1039/b909654j

C. Strohmann, B. C. Abele, K. Lehmen, and D. Schildbach, A Highly Diastereomerically Enriched, Silyl-Substituted Alkyl Lithium, Configurationally Stable at Room Temperature, Angewandte Chemie International Edition, vol.43, issue.20, pp.3136-3139, 2005.
DOI : 10.1002/anie.200462141

Y. Hayashi, H. Ohtake, J. Kawahara, M. Tada, S. Saito et al., Comprehensive Chemistry Designs in Porous SiOCH Film Stacks and Plasma Etching Gases for Damageless Cu Interconnects in Advanced ULSI Devices, IEEE Transactions on Semiconductor Manufacturing, vol.21, issue.3, pp.469-480, 2008.
DOI : 10.1109/TSM.2008.2001225

P. Mabboux and K. K. Gleason, Chemical Bonding Structure of Low Dielectric Constant Si:O:C:H Films Characterized by Solid-State NMR, Journal of The Electrochemical Society, vol.152, issue.1, p.7, 2005.
DOI : 10.1149/1.1830353

R. Scarazzini, M. Lépinay, L. Broussous, J. P. Barnes, M. Veillerot et al., ToF-SIMS spectra multivariate analyses for the chemical characterization of microelectronic low-k materials, Surface and Interface Analysis, vol.42, issue.S1, 2014.
DOI : 10.1002/sia.5533

T. Takamura, H. Yoshida, and K. Inazuka, Infrared characteristic bands of highly dispersed silica, Kolloid-Zeitschrift & Zeitschrift f??r Polymere, vol.44, issue.4, pp.12-16, 1964.
DOI : 10.1007/BF01500878

T. Oszinda, M. Schaller, and S. E. Schulz, Chemical Repair of Plasma Damaged Porous Ultra Low-?? SiOCH Film Using a Vapor Phase Process, Journal of The Electrochemical Society, vol.157, issue.12, p.1140, 2010.
DOI : 10.1149/1.3503596

B. Grünberg, T. Emmler, E. Gedat, I. Shenderovich, G. H. Findenegg et al., H Solid-State NMR, Chemistry - A European Journal, vol.14, issue.22, pp.5689-5696, 2004.
DOI : 10.1002/chem.200400351

Q. T. Le, M. R. Baklanov, E. Kesters, A. Azioune, H. Struyf et al., Removal of Plasma-Modified Low-k Layer Using Dilute HF: Influence of Concentration, Electrochemical and Solid-State Letters, vol.8, issue.7, p.21, 2005.
DOI : 10.1149/1.1928234

H. Sinha, A. Sehgal, H. Ren, M. T. Nichols, M. Tomoyasu et al., Effect of the dielectric???substrate interface on charge accumulation from vacuum ultraviolet irradiation of low-k porous organosilicate dielectrics, Thin Solid Films, vol.519, issue.16, pp.5464-5466, 2011.
DOI : 10.1016/j.tsf.2011.03.010

J. L. Lauer, H. Sinha, M. T. Nichols, G. A. Antonelli, Y. Nishi et al., Charge Trapping within UV and Vacuum UV Irradiated Low-k Porous Organosilicate Dielectrics, Journal of The Electrochemical Society, vol.157, issue.8, p.177, 2010.
DOI : 10.1149/1.3435285

S. Takahara, M. Nakano, S. Kittaka, Y. Kuroda, T. Mori et al., Neutron Scattering Study on Dynamics of Water Molecules in MCM-41, The Journal of Physical Chemistry B, vol.103, issue.28, pp.5814-5819, 1999.
DOI : 10.1021/jp984136j

S. Acosta, A. Ayral, C. Guizard, C. Lecornec, G. Passemard et al., Sol-Gel Derived Silica Layers for Low- k Dielectrics Applications, MRS Proceedings, vol.20, pp.5-26, 2000.
DOI : 10.1023/A:1026428814961

R. J. , -. Pellenq, and D. Nicholson, Intermolecular Potential Function for the Physical Adsorption of Rare Gases in Silicalite, J. Phys. Chem, vol.98, issue.50, pp.13339-13349, 1994.

P. I. Ravikovitch, A. Vishnyakov, A. Neimark, M. M. Ribeiro-carrott, P. Russo et al., Characterization of Micro-Mesoporous Materials from Nitrogen and Toluene Adsorption:?? Experiment and Modeling, Langmuir, vol.22, issue.2, pp.513-516, 2006.
DOI : 10.1021/la052202k

P. A. Russo, M. M. Carrott, and P. J. Carrott, Hydrocarbonsadsorption on templated mesoporous materials: effect of the pore size, geometry and surface chemistry, New J. Chem., vol.44, issue.45, p.407, 2011.
DOI : 10.1039/C0NJ00614A

B. Coasne, The startling poroelastic behaviour of vitreous silica, 2014.

D. M. Fleetwood, S. A. Francis, A. Dasgupta, X. Zhou, R. Schrimpf et al., Moisture Effects on the 1/F Noise Of Mos Devices, ECS Transactions, pp.363-377, 2009.
DOI : 10.1149/1.3122102

M. M. Carrott, A. J. Candeias, and P. J. Carrott, Adsorption of nitrogen , neopentane , n -hexane , benzene and methanol for the evaluation of pore sizes in silica grades of MCM-41, pp.323-337, 2001.

L. Lin, A. H. Berger, R. L. Martin, J. Kim, J. A. Swisher et al., In silico screening of carbon-capture materials, Nature Materials, vol.6, issue.7, pp.633-674, 2012.
DOI : 10.1038/nmat3336

A. Auroux, Calorimetry and Thermal Methods in Catalysis, p.577, 2013.
DOI : 10.1007/978-3-642-11954-5

P. G. De-gennes, Wetting: statics and dynamics, Rev. Mod. Phys, vol.57, issue.3, 1985.

D. Bonn, J. Eggers, J. Indekeu, J. Meunier, and E. Rolley, Wetting and spreading, Reviews of Modern Physics, vol.81, issue.2, pp.739-805, 2009.
DOI : 10.1103/RevModPhys.81.739

B. Derjaguin, A theory of capillary condensation in the pores of sorbents and of other capillary phenomena taking into account the disjoining action of polymolecular liquid films, Progress in Surface Science, vol.40, issue.1-4, pp.1-4, 1992.
DOI : 10.1016/0079-6816(92)90032-D

T. L. Hill, Theory of Multimolecular Adsorption from a Mixture of Gases, The Journal of Chemical Physics, vol.14, issue.4, p.268, 1946.
DOI : 10.1063/1.1724130

B. Coasne, A. Grosman, C. Ortega, and R. J. Pellenq, Physisorption in nanopores of various sizes and shapes : A Grand Canonical Monte Carlo simulation study, Stud. Surf. Sci. Catal, vol.144, p.35, 2002.
DOI : 10.1016/S0167-2991(02)80217-8

F. Celestini, Capillary condensation within nanopores of various geometries, Physics Letters A, vol.228, issue.1-2, pp.84-90, 1997.
DOI : 10.1016/S0375-9601(97)00070-4

A. Lecloux and J. P. Pirard, The importance of standard isotherms in the analysis of adsorption isotherms for determining the porous texture of solids, Journal of Colloid and Interface Science, vol.70, issue.2, pp.265-281, 1979.
DOI : 10.1016/0021-9797(79)90031-6

C. J. Van-oss, Interfacial Forces in Aqueous Media, 2006.

C. J. Brinker, Hydrolysis and condensation of silicates: Effects on structure, Journal of Non-Crystalline Solids, vol.100, issue.1-3, pp.1-3, 1988.
DOI : 10.1016/0022-3093(88)90005-1

J. Rouquerol, D. Avnir, C. W. Fairbridge, D. H. Everett, J. M. Haynes et al., Recommendations for the characterization of porous solids, Pure Appl. Chem, vol.66, issue.8, 1994.

C. Licitra, R. Bouyssou, T. Chevolleau, and F. Bertin, Multi-solvent ellipsometric porosimetry analysis of plasma-treated porous SiOCH films, Thin Solid Films, vol.518, issue.18, pp.5140-5145, 2010.
DOI : 10.1016/j.tsf.2010.03.015

P. Kowalczyk, A. Ciach, and A. Neimark, Adsorption-Induced Deformation of Microporous Carbons: Pore Size Distribution Effect, Langmuir, vol.24, issue.13, pp.6603-6611, 2008.
DOI : 10.1021/la800406c

V. Jousseaume, O. Gourhant, A. Zenasni, M. Maret, and J. Simon, Grazing incidence small angle x-ray scattering study of the structure of nanoporous ultralow-k dielectrics prepared by plasma enhanced chemical vapor deposition, Applied Physics Letters, vol.95, issue.2, p.22901, 2009.
DOI : 10.1063/1.3168521

URL : https://hal.archives-ouvertes.fr/hal-00428622

C. He, T. Oka, Y. Kobayashi, N. Oshima, T. Ohdaira et al., Positronium annihilation and pore surface chemistry in mesoporous silica films, Applied Physics Letters, vol.91, issue.2, p.24102, 2007.
DOI : 10.1063/1.2756310

P. Verdonck, M. Aresti, A. Ferchichi, E. Van-besien, B. Stafford et al., The influence of N containing plasmas on low-k films, Microelectronic Engineering, vol.88, issue.5, pp.627-630, 2011.
DOI : 10.1016/j.mee.2010.06.011

H. Yang, Stability of Si-O-F low-K dielectrics: Attack by water molecules as function of near-neighbor Si-F bonding arrangements, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.16, issue.3, p.1525, 1998.
DOI : 10.1116/1.581181

D. G. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex, Controllable Change of Porosity of 3-Methylsilane Low-k Dielectric Film, Electrochemical and Solid-State Letters, vol.4, issue.1, pp.3-5, 2001.
DOI : 10.1149/1.1344283

D. G. Shamiryan, M. R. Baklanov, G. Vereecke, S. Vanhaelemeersch, and K. Maex, Modification of Low-K SiCOH Film Porosity by a HF Solution, Solid State Phenomena, vol.76, issue.77, pp.76-77, 2001.
DOI : 10.4028/www.scientific.net/SSP.76-77.135

D. Bamford, G. Dlubek, G. Dommet, S. Höring, T. Lüpke et al., Positron/positronium annihilation as a probe for chemical environments of free volume holes in fluoropolymers, Polymer, vol.47, issue.10, pp.3486-3493, 2006.
DOI : 10.1016/j.polymer.2006.03.044

M. Lépinay, N. Djourelov, H. Marinov, L. Broussous, K. Courouble et al., Depth-resolved impact of integration process on porosity and solvent diffusion in a SiOCH low-k material, Journal of Porous Materials, vol.19, issue.3, pp.475-484, 2014.
DOI : 10.1007/s10934-014-9794-7

F. Iacopi, S. Stauss, K. Terashima, and M. R. Baklanov, Cryogenic approaches to low-damage patterning of porous low-k films, PESM 2012 Proceedings, 2012.

L. Zhang, R. Ljazouli, P. Lefaucheux, T. Tillocher, R. Dussart et al., Damage Free Cryogenic Etching of a Porous Organosilica Ultralow-k Film, ECS Solid State Letters, vol.2, issue.2, pp.5-7, 2012.
DOI : 10.1149/2.007302ssl

URL : https://hal.archives-ouvertes.fr/hal-00831289

H. Yang and G. Lucovsky, Stability of Si-O-F low-K dielectrics: Attack by water molecules as function of near-neighbor Si-F bonding arrangements, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.16, issue.3, p.1525, 1998.
DOI : 10.1116/1.581181

F. Iacopi, S. Stauss, K. Terashima, and M. R. Baklanov, Cryogenic approaches to low-damage patterning of porous low-k films, PESM 2012 Proceedings, 2012.

D. K. Owens and R. C. Wendt, Estimation of the surface free energy of polymers, Journal of Applied Polymer Science, vol.13, issue.8, pp.1740-1747, 1969.
DOI : 10.1002/app.1969.070130815

M. Bouteau, Propriétés de mouillage de surfaces chimiquement hétérogènes, 2007.

E. Vinogradova, E. Osei-yiadom, C. E. Smith, D. W. Mueller, and R. F. Reidy, Effects of plasmas on porous low dielectric constant CVD SiOCH films, Microelectronic Engineering, vol.86, issue.2, pp.176-180, 2009.
DOI : 10.1016/j.mee.2008.10.018

. Finalement, En particulier, l'adsorption a lieu à très basse pression partielle (P/P 0 ~ 0,01) pour la silice SiOH, alors que pour la surface méthylée, cette pression partielle est plus élevée (P/P 0 ~ 0,05) Dans le cas de la surface trifluorométhylée, l'adsorption dans le pore a lieu autour de (P/P 0 ~ 0,6), ce qui indique qu'il faut effectivement tenir compte de la nature