. Résultats and .. Dans-les-blocs-fonctionnels-digitaux, 106 a. Le réseau signal 106 b. Le réseau d'alimentation électrique 106 i. Cellules d'horloge à forts courants, 106 ii, p.107

.. Influence-des-paramètres-topologiques, 115 a, p.117

.. Évaluation-des-effets-de-la-redondance-dans-les-grilles-d-'alimentation, 120 a. Modélisation de la redondance 121 b. Durée de vie de la section de ligne autour du via 122 c. L'usure de la ligne à l'aplomb du via 122 d. Modifications du courant limite I DRM dû à l'usure dans, TTF VB ), vol.123, p.124

.. Vérification-de-l-'effet-de-redondance-sur-les-structures-de-test, 124 a. Structures de test, 125 c. Impact des effets de redondance sur le temps à la défaillance d'un segment, p.126

.. Amélioration-de-la-détection-des-risques-au-niveau-design, 128 1. Conditions de vérification de la fiabilité des circuits intégrés, p.128

.. Application-de-la-méthode-de-redondance:-simulation, 129 a. Récupération des vias proches des zones de violations 129 b. Application de l'équation de la redondance, p.130

I. Conception-de-circuits-intégrés-robustes and .. , 132 a. Le réseau de lignes d'alimentation reparti sur une double couches de métal, ., vol.132, issue.132, p.133

.. Optimisation-du-placement-de-cellules-d-'horloge, 133 a. Éviter le placement des cellules d'horloges en bout de ligne d'une grille d'alimentation, p.134

.. Halo-dynamique, 136 a. Expression de la capacité de charge de sortie, 137 b. Détermination du périmètre du Halo en fonction de la consommation de la cellule 138

[. B. Mongellaz, Contribution à l'intégration de la fiabilité dans le flot de conception des circuits intégrés fondée sur l'utilisation d'un langage de description comportementale VHDL-AMS, thèse, 2004.

[. M. Geradin and M. Gerardin, Compte Rendue de l'Académie des Sciences, pp.727-1861, 1891.

[. J. Black, Electromigration failure modes in aluminum metallization for semiconductor devices, Proceedings of the IEEE, vol.57, issue.9, pp.1587-1594, 1969.
DOI : 10.1109/PROC.1969.7340

[. D. Young, Failure mechanism models for electromigration, IEEE Transactions on Reliability, vol.43, issue.2, pp.186-892, 1994.
DOI : 10.1109/24.294986

H. Hsiang-yao, Investigation of Joule Heating Effect in Various Stages of Electromigration in Flip-Chip Solder Joints by Infrared Microscopy, Electronic Components and Technology Conference (ECTC), pp.922-925, 2010.

[. S. Yokogawa, S. Yokogawa, and H. Et-tsuchiya, Joule Heating Effects on Electromigration, CuLow K Interconnects " International Reliability Physics Symposium (IRPS), pp.837-843, 2009.

[. M. Casu, An electromigration and thermal model of power wires for a priori high-level reliability prediction, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.349-358, 2004.
DOI : 10.1109/TVLSI.2004.825599

[. J. Vitiello, Étude de matériaux diélectriques à très faible permittivité déposés par voie chimique en phase vapeur développés pour l'isolation des interconnexions cuivre des circuits intégrés pour les générations technologiques 45 nm et 32 nm, thèse, 2006.

[. H. Haznedar, Impact of stress-induced backflow on full-chip electromigration risk assessment, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.25, issue.6, pp.1038-1046, 2006.
DOI : 10.1109/TCAD.2005.855941

[. A. Oates, A model for electromigration failure distributions of contacts and vias in advanced IC technologies, 1998 5th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.98EX105), pp.234-237, 1998.
DOI : 10.1109/ICSICT.1998.785862

[. Y. Wee, Electromigration Failure Mechanism and Lifetime Expectation for Bi-Modal Distribution in Cu/Low-k Interconnect " from IITC, Jae Yeol Maeng, pp.31-33, 2007.

[. L. Doyen, Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique, Lise Doyen thèse, 2009.

[. J. Lloyd, Electromigration failure, Journal of Applied Physics, vol.69, issue.11, pp.7601-7604, 1991.
DOI : 10.1063/1.347529

[. P. Lamontagne, Caractérisation électrique et modélisation de la cinétique d'endommagement par électromigration pour la fiabilité des interconnexions des technologies avancées de la microélectronique, thèse, 2011.

[. I. Blech and I. A. Blech, Electromigration in thin aluminum films on titanium nitride, Journal of Applied Physics, pp.338-347, 1976.

[. J. Jean-frédéric-guillaumond, Étude de la résistivité et de l'électromigration dans les interconnexions destinées aux technologies des noeuds 90 nm -32 nm, thèse, 2006.

[. R. Galand, Caractérisation physique de la microstructure des interconnexions avancées Cu/Low-k pour l'étude des défaillances par électromigration, p.2012, 2012.

[. S. Kamiya, Grain-scale adhesion strength mapping of copper wiring structures in integrated circuits, Surface and Coatings Technology, vol.215, pp.280-284, 2013.
DOI : 10.1016/j.surfcoat.2012.07.100

[. M. Lin, Electromigration mechanisms in Cu nano-wires, 2010 IEEE International Reliability Physics Symposium, pp.705-711, 2010.
DOI : 10.1109/IRPS.2010.5488747

[. D. Ney, Electromigration threshold in copper interconnects and consequences on lifetime extrapolations, Proceedings of the IEEE 2005 International Interconnect Technology Conference, 2005., pp.105-107, 2005.
DOI : 10.1109/IITC.2005.1499942

[. J. Park, Electromigration model for the prediction of lifetime based on the failure unit statistics in aluminum metallization, Journal of Applied Physics, vol.93, issue.2, pp.883-892, 2003.
DOI : 10.1063/1.1528311

[. H. Kawasaki and C. K. Hu, An electromigration failure model of tungsten plug contacts/viasfor realistic lifetime prediction " Symposium on VLSI Technology, pp.192-193, 1996.

[. C. Hau-riege, The effect of current direction on the electromigration in short-lines with reservoirs, 2008 IEEE International Reliability Physics Symposium, pp.381-384, 2008.
DOI : 10.1109/RELPHY.2008.4558916

[. A. Oates, The electromigration short — Length effect and its impact on circuit reliability, 2013 IEEE International Interconnect Technology Conference, IITC, 2013.
DOI : 10.1109/IITC.2013.6615553

[. C. Hau-riege, The effect of a width transition on the electromigration reliability of Cu interconnects " 46th, Annual International Reliability Physics Symposium, pp.377-380, 2008.

[. F. Bana, Franck Lionel Bana Dégradation par électromigration dans les interconnexions en cuivre : étude des facteurs d'amélioration des durées de vie et analyse des défaillances précoces, p.2013, 2013.

[. Y. Park, Joon Park; Ki-Don Lee et Hunter W.R " A Variable Current Exponent Model for Electromigration Lifetime Relaxation in Short Cu Interconnects, International Electron Devices Meeting (IEDM), pp.1-4, 2006.

[. Y. Park, New electromigration validation: Via Node Vector Method, 2010 IEEE International Reliability Physics Symposium, pp.698-704, 2010.
DOI : 10.1109/IRPS.2010.5488746

S. Yokogawa, . Yokogawa, H. Tsuchiya, and Y. Et-kakuhara, Effective thermal characteristics to suppress joule heating impacts on electromigration in Cu/low-k interconnects, 2010 IEEE International Reliability Physics Symposium, pp.717-723, 2010.
DOI : 10.1109/IRPS.2010.5488745

[. S. Chiu, Joule Heating Effect under Accelerated Electromigration in Flip-Chip Solder Joints, 56th Electronic Components and Technology Conference 2006, pp.663-666, 2006.
DOI : 10.1109/ECTC.2006.1645721

[. Z. Lu, Interconnect Lifetime Prediction for Reliability-Aware Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.159-172, 2007.
DOI : 10.1109/TVLSI.2007.893578

[. O. Sentiey, Sentiey Gestion intelligente de l'énergie : aspects matériels et logiciels, Journées Electrotechniques du Club EEA, Energie portable : autonomie et intégration dans l'environnement humain, ENS Cachan, 2002.

[. S. De-rivaz, Sébastien de Rivaz Développement d'outils de caractérisation et d'optimisation des performances électriques des réseaux d'interconnexions de circuits intégrés rapides sub-CMOS 65 nm et nouveaux concepts d'interconnexions fonctionnelles, thèse, 2011.

[. M. Briere, Flot de conception hiérarchique d'un système hétérogène. Prototypage virtuel d'un réseau d'interconnexion optique intégré, thèse, 2005.

[. L. Zhihong, Design tools for reliability analysis, 2006 43rd ACM/IEEE Design Automation Conference, pp.182-187, 2006.
DOI : 10.1109/DAC.2006.229203

[. D. Frost, RELIANT: a reliability analysis tool for VLSI interconnects, IEEE Custom Integrated Circuits Conference, vol.24, pp.458-462, 1989.

[. B. Liew, Circuit reliability simulator for interconnect, via, and contact electromigration, IEEE Transactions on Electron Devices, vol.39, issue.11, pp.2472-2479, 1992.
DOI : 10.1109/16.163460

[. J. Clement, Electromigration modeling for integrated circuit interconnect reliability analysis, IEEE Transactions on Device and Materials Reliability, vol.1, issue.1, pp.33-42, 2001.
DOI : 10.1109/7298.946458

[. H. Ceric, A Comprehensive TCAD Approach for Assessing Electromigration Reliability of Modern Interconnects, IEEE Transactions on Device and Materials Reliability, vol.9, issue.1, pp.9-19, 2009.
DOI : 10.1109/TDMR.2008.2000893

[. S. Alam, Circuit level reliability analysis of Cu interconnects, SCS 2003. International Symposium on Signals, Circuits and Systems. Proceedings (Cat. No.03EX720), pp.238-243, 2004.
DOI : 10.1109/ISQED.2004.1283680

[. G. Avot, Analyse temporelle des circuits intégrés digitaux CMOS, pour les technologies profondément submicroniques " thèse, Grégoire AVOT, 2003.

[. P. Renault, Méthodes de réduction de réseaux RC appliquées aux outils de vérification de circuits submicroniques, 2003.

[. T. Chawla, Étude de l'impact des variations du procédé de fabrication sur les circuits numériques, thèse, 2010.

[. D. Blaauw, Static electromigration analysis for on-chip signal interconnects, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.22, issue.1, pp.39-48, 2003.
DOI : 10.1109/TCAD.2002.805728

[. B. Li, Impact of via-line contact on CU interconnect electromigration performance, 43rd Annual International Reliability Physics Symposium, pp.24-30, 2005.

[. V. Dwyer, Analysis of multistate models for electromigration failure, Journal of Applied Physics, vol.107, issue.3, 2010.
DOI : 10.1063/1.3262497

[. I. Jiang, WiT: Optimal Wiring Topology for Electromigration Avoidance, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.581-592, 2011.
DOI : 10.1109/TVLSI.2011.2116049

[. B. Li, Statistical Evaluation of Electromigration Reliability at Chip Level, IEEE Transactions on Device and Materials Reliability, vol.11, issue.1, pp.86-91, 2011.
DOI : 10.1109/TDMR.2010.2093526

[. X. Chen, Tongquan Wei An interconnect reliability driven routing technique for electromigration failure avoidance, IEEE Transactions on Dependable and Secure Computing, vol.9, issue.5, pp.770-776, 2012.

[. P. Jain, Accurate Current Estimation for Interconnect Reliability Analysis, Palkesh Jain et Ankit Jain IEEE Very Large Scale Integration (VLSI) Systems, pp.1634-1644, 2012.
DOI : 10.1109/TVLSI.2011.2160882

[. K. Chow, Method for Managing Electromigration in SOC'S When Designing for Both Reliability and Manufacturing, 2006 IEEE International SOC Conference, pp.95-102, 2006.
DOI : 10.1109/SOCC.2006.283855

[. J. Abella, Refueling: Preventing Wire Degradation due to Electromigration, IEEE Micro, vol.28, issue.6, pp.37-46, 2008.
DOI : 10.1109/MM.2008.92

[. L. Doyen, Use of bidirectional current stress for in depth analysis of electromigration mechanism, 2008 IEEE International Reliability Physics Symposium, pp.681-682, 2008.
DOI : 10.1109/RELPHY.2008.4558986

[. K. Lee, Electromigration recovery and short lead effect under bipolar- and unipolar-pulse current, 2012 IEEE International Reliability Physics Symposium (IRPS), pp.6-9, 2012.
DOI : 10.1109/IRPS.2012.6241869

[. H. Ceric, Comprehensive modeling of electromigration induced interconnect degradation mechanisms, 2008 26th International Conference on Microelectronics, pp.69-76, 2008.
DOI : 10.1109/ICMEL.2008.4559225

[. D. Li, Multi-Via Electromigration Lifetime Model, pp.308-311, 2012.

[. B. Ouattara, Redundancy method to assess electromigration lifetime in power Grid design, 2013 IEEE International Interconnect Technology Conference, IITC, pp.81-83, 2013.
DOI : 10.1109/IITC.2013.6615570

URL : https://hal.archives-ouvertes.fr/hal-00915971

[. T. Mitsuhashi, Power and ground network topology optimization for cell based VLSIs, [1992] Proceedings 29th ACM/IEEE Design Automation Conference, pp.524-529, 1992.
DOI : 10.1109/DAC.1992.227748

A. S. Oates, The scaling of electromigration lifetimes, 2012 IEEE International Reliability Physics Symposium (IRPS), pp.6-8, 2012.
DOI : 10.1109/IRPS.2012.6241868