G. E. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, 1965.
DOI : 10.1109/JPROC.1998.658762

W. Ballhaus, A. Pagella, C. Vogel, and C. Wilmsmeier, Faster, greener smarter: Reaching beyond the horizon in the world of semiconductors, 2012.

W. S. Boyle and G. E. Smith, Charge Coupled Semiconductor Devices, The Bell System Technical Journal, pp.587-593, 1970.

S. Morrison, A new type of photosensitive junction device, Solid-State Electronics, vol.6, issue.5, pp.485-494, 1963.
DOI : 10.1016/0038-1101(63)90033-9

M. Tanenbaum, L. B. Valdes, E. Buehler, and N. B. Hannay, Grown Junction Transistors, Journal of Applied Physics, vol.26, issue.6, pp.686-692, 1955.
DOI : 10.1063/1.1722071

L. J. Edgar, Method and apparatus for controlling electric currents, 1930.

K. Dawon, Electric field controlled semiconductor device, 1963.

F. M. Wanlass, Low stand-by power complementary field effect circuitry, 1967.

H. Mathieu, Physique des semiconducteurs et des composantsélectroniquescomposantsélectroniques, 2009.

R. M. Martin, Electronic Structure: Basic Theory and Practical Methods, 2008.
DOI : 10.1017/CBO9780511805769

J. R. Chelikowsky and M. L. Cohen, Nonlocal pseudopotential calculations for the electronic structure of eleven diamond and zinc-blende semiconductors, Physical Review B, vol.14, issue.2, pp.556-582, 1976.
DOI : 10.1103/PhysRevB.14.556

J. R. Woodyard, Nonlinear circuit device utilizing germanium, 1950.

S. T. Pantelides, The electronic structure of impurities and other point defects in semiconductors, Reviews of Modern Physics, vol.50, issue.4, pp.797-858, 1978.
DOI : 10.1103/RevModPhys.50.797

W. Shockley, Junction Transistors, Bell System Technical Journal, vol.28, issue.3, pp.435-489, 1949.
DOI : 10.1002/j.1538-7305.1949.tb03645.x

W. Shockley and W. T. Read, Statistics of the Recombinations of Holes and Electrons, Physical Review, vol.87, issue.5, pp.835-842, 1952.
DOI : 10.1103/PhysRev.87.835

L. Esaki, Junctions, Physical Review, vol.109, issue.2, pp.603-604, 1958.
DOI : 10.1103/PhysRev.109.603

G. A. Hurkx, D. B. Klaassen, and M. P. Knuvers, A new recombination model for device simulation including tunneling, IEEE Transactions on Electron Devices, vol.39, issue.2, pp.331-338, 1992.
DOI : 10.1109/16.121690

R. H. Fowler and L. Nordheim, Electron Emission in Intense Electric Fields, Proceedings of the Royal Society A: Mathematical, Physical and Engineering Sciences, vol.119, issue.781, pp.173-181, 1928.
DOI : 10.1098/rspa.1928.0091

R. Duffy, A. Heringa, V. Venezia, J. Loo, M. Verheijen et al., Quantitative prediction of junction leakage in bulk-technology CMOS devices, Solid-State Electronics, vol.54, issue.3, pp.243-251, 2010.
DOI : 10.1016/j.sse.2009.09.007

A. Schenk, Advanced Physical Models for Silicon Device Simulation. Computational microelectronics, 1998.

J. Brews, A charge-sheet model of the MOSFET, Solid-State Electronics, vol.21, issue.2, pp.345-355, 1978.
DOI : 10.1016/0038-1101(78)90264-2

K. Roy, S. Mukhopadhyay, and H. Mahmoodi-meimand, Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits, Proceedings of the IEEE, vol.91, issue.2, pp.305-327, 2003.
DOI : 10.1109/JPROC.2002.808156

G. E. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, 1965.
DOI : 10.1109/JPROC.1998.658762

B. E. Bayer, Color imaging array, 1976.

A. Theuwissen, Cmos image sensors: State-of-the-art and future perspectives, Solid State Device Research Conference, pp.21-27, 2007.

S. Place, Elaboration dune technologie de pixels actifs détection de trous etévaluationetévaluation de son comportement en environnement ionisant, Thèse l'Université Toulouse III -Paul Sabatier, 2012.

Z. Essa, P. Boulenc, C. Tavernier, F. Hirigoyen, A. Crocherie et al., 3D TCAD simulation of advanced CMOS image sensors, 2011 International Conference on Simulation of Semiconductor Processes and Devices, pp.187-190, 2011.
DOI : 10.1109/SISPAD.2011.6035082

F. Domengie, J. Regolini, D. Bauza, and P. Morin, Impact on device performance and monitoring of a low dose of tungsten contamination by Dark Current Spectroscopy, 2010 IEEE International Reliability Physics Symposium, pp.259-264, 2010.
DOI : 10.1109/IRPS.2010.5488821

URL : https://hal.archives-ouvertes.fr/hal-00604563

J. Prima, F. Roy, H. Leininger, C. Cowache, J. Vaillant et al., Improved colour separation for a backside illuminated image sensor with 1.4 µm pixel pitch, International Image Sensor Workshop (IISW), 2009.

J. Michelot, F. Roy, J. Prima, C. Augier, F. Barbier et al., Back illuminated vertically pinned photodiode with in depth charge storage, International Image Sensor Workshop (IISW), 2011.

J. H. Lambert, Photometria, sive, De mensura et gradibus luminis, colorum et umbrae, V. E. Klett, 1760.

M. Bruel, Silicon on insulator material technology, Electronics Letters, vol.31, issue.14, pp.1201-1202, 1995.
DOI : 10.1049/el:19950805

D. Lenoble, Advanced junction fabrication challenges at the 45nm node, Computational Microelectronics, vol.30, 2006.

P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon, Computational Microelectronics, 2004.
DOI : 10.1007/978-3-7091-0597-9

P. M. Fahey, P. B. Griffin, and J. D. Plummer, Point defects and dopant diffusion in silicon, Reviews of Modern Physics, vol.61, issue.2, pp.289-384, 1989.
DOI : 10.1103/RevModPhys.61.289

I. Martin-bragado, N. Zographos, and P. Castrillo, Atomistic modeling and simulation of arsenic diffusion including mobile arsenic clusters, EMRS Spring Meeting

G. D. Watkins and J. W. Corbett, Defects in Irradiated Silicon: Electron Paramagnetic Resonance of the Divacancy, Physical Review, vol.138, issue.2A, pp.543-555, 1965.
DOI : 10.1103/PhysRev.138.A543

D. J. Chadi and K. J. Chang, Magic numbers for vacancy aggregation in crystalline Si, Physical Review B, vol.38, issue.2, pp.1523-1525, 1988.
DOI : 10.1103/PhysRevB.38.1523

O. Holland and C. White, Ion-induced damage and amorphization in si Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with, Materials and Atoms, vol.59, issue.60 0, pp.353-362, 1991.

A. Claverie, B. Colombeau, B. De-mauduit, C. Bonafos, X. Hebras et al., Extended defects in shallow implants, Applied Physics A: Materials Science & Processing, vol.76, issue.7, pp.1025-1033, 2003.
DOI : 10.1007/s00339-002-1944-0

M. Minondo, J. Boussey, and G. Kamarinos, The impact of the substrate preamorphisation on the electrical performances of p+/n silicon junction diodes, Microelectronics Reliability, vol.37, issue.1, pp.53-60, 1997.
DOI : 10.1016/0026-2714(96)00238-7

D. Alquier, B. V. Haaren, C. Bergaud, R. Plana, J. Graffeuil et al., ) Junctions, Japanese Journal of Applied Physics, vol.36, issue.Part 1, No. 4A, pp.1999-2003, 1997.
DOI : 10.1143/JJAP.36.1999

R. Lindsay, K. Henson, W. Vandervorst, K. Maex, B. J. Pawlak et al., Leakage optimization of ultra-shallow junctions formed by solid phase epitaxial regrowth, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.1, pp.306-311, 2004.
DOI : 10.1116/1.1638774

W. Schröter, J. Kronewitz, U. Gnauert, F. Riedel, and M. Seibt, Bandlike and localized states at extended defects in silicon, Physical Review B, vol.52, issue.19, pp.13726-13729, 1995.
DOI : 10.1103/PhysRevB.52.13726

G. Olson and J. Roth, Kinetics of solid phase crystallization in amorphous silicon, Materials Science Reports, vol.3, issue.1, pp.1-77, 1988.
DOI : 10.1016/S0920-2307(88)80005-7

R. Duffy, V. C. Venezia, A. Heringa, B. J. Pawlak, M. J. Hopstaken et al., Boron diffusion in amorphous silicon and the role of fluorine, Applied Physics Letters, vol.84, issue.21, pp.4283-4285, 2004.
DOI : 10.1063/1.1751225

B. J. Pawlak, R. Surdeanu, B. Colombeau, A. J. Smith, N. E. Cowern et al., Evidence on the mechanism of boron deactivation in Ge-preamorphized ultrashallow junctions, Applied Physics Letters, vol.84, issue.12, pp.2055-2057, 2004.
DOI : 10.1063/1.1682697

F. Cristiano, Y. Lamrani, F. Severac, M. Gavelle, S. Boninelli et al., Defects evolution and dopant activation anomalies in ion implanted silicon, Physics Research Section B: Beam Interactions with Materials and Atoms, pp.68-79, 2006.
DOI : 10.1016/j.nimb.2006.10.046

A. Renau, Device performance and yield — A new focus for ion implantation, 2010 International Workshop on Junction Technology Extended Abstracts, pp.1-6, 2010.
DOI : 10.1109/IWJT.2010.5475003

F. Khaja, B. Colombeau, T. Thanigaivelan, D. Ramappa, and T. Henry, Benefits of Damage Engineering for PMOS Junction Stability, AIP Conference Proceedings, vol.1321, issue.1, pp.65-68, 2011.
DOI : 10.1063/1.3548467

F. A. Khaja, B. Colombeau, T. Thanigaivelan, D. Ramappa, and T. Henry, Physical understanding of cryogenic implant benefits for electrical junction stability, Applied Physics Letters, vol.100, issue.11, p.112102, 2012.
DOI : 10.1063/1.3694275

H. Rücker, B. Heinemann, W. Röpke, R. Kurps, D. Krüger et al., Suppressed diffusion of boron and carbon in carbon-rich silicon, Applied Physics Letters, vol.73, issue.12, pp.1682-1684, 1998.
DOI : 10.1063/1.122244

P. Lavéant, P. Werner, N. Engler, and U. , Gösele Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, pp.292-297

G. Impellizzeri, J. H. Santos, S. Mirabella, F. Priolo, E. Napolitani et al., Role of fluorine in suppressing boron transient enhanced diffusion in preamorphized Si, Applied Physics Letters, vol.84, issue.11, pp.1862-1864, 2004.
DOI : 10.1063/1.1675935

M. Diebel and S. T. Dunham, Ab-initio Calculations to Model Anomalous Fluorine Behavior, MRS Proceedings, vol.47, p.245901, 2004.
DOI : 10.1557/PROC-717-C4.5

N. Stoddard, P. Pichler, G. Duscher, and W. Windl, Identification of the Nitrogen Diffusion Mechanism in Silicon, Physical Review Letters, vol.95, issue.2, p.25901, 2005.
DOI : 10.1103/PhysRevLett.95.025901

J. P. Goss, I. Hahn, R. Jones, P. R. Briddon, and S. Oberg, Vibrational modes and electronic properties of nitrogen defects in silicon, Physical Review B, vol.67, issue.4, p.45206, 2003.
DOI : 10.1103/PhysRevB.67.045206

A. Mokhberi, P. B. Griffin, J. D. Plummer, E. Paton, S. Mccoy et al., A comparative study of dopant activation in boron, BF/sub 2/, arsenic, and phosphorus implanted silicon, IEEE Transactions on Electron Devices, vol.49, issue.7, pp.1183-1191, 2002.
DOI : 10.1109/TED.2002.1013274

M. Hernandez, Procédé laser de réalisation de jonctions ultra-minces pour la microélectronique silicium: ´ etude expérimentale, modélisation et tests de faisabilité, 2005.

V. Vervisch, Etude et réalisation de jonctions ultra fines P+/N par la technique d'implantation ionique par immersion plasma. Application aux cellules photovolta¨?quesphotovolta¨?ques, 2007.

A. Agarwal, H. Gossmann, and A. Fiory, Effect of ramp rates during rapid thermal annealing of ion implanted boron for formation of ultra-shallow junctions, Journal of Electronic Materials, vol.52, issue.12, pp.1333-1339, 1999.
DOI : 10.1007/s11664-999-0118-7

T. Ito, K. Suguro, M. Tamura, T. Taniguchi, Y. Ushiku et al., Flash lamp annealing technology for ultra-shallow junction formation, Extended Abstracts of the Third International Workshop on Junction Technology, 2002. IWJT., pp.23-26, 2002.
DOI : 10.1109/IWJT.2002.1225191

W. Skorupa, W. Anwand, D. Panknin, M. Voelskow, R. Yankov et al., Advanced thermal processing of semiconductor materials in the millisecond range, Vacuum, vol.78, issue.2-4, pp.673-677, 2005.
DOI : 10.1016/j.vacuum.2005.01.105

W. Lerch, S. Paul, J. Niess, S. Mccoy, J. Gelpey et al., Advanced activation trends for boron and arsenic by combinations of single, multiple flash anneals and spike rapid thermal annealing, Materials Science and Engineering: B, vol.154, issue.155, pp.154-155, 2008.
DOI : 10.1016/j.mseb.2008.08.017

F. Cristiano, E. Bazizi, P. Fazzini, S. Paul, W. Lerch et al., Defect evolution and C+/F+ co-implantation in millisecond flash annealed ultrashallow junctions, Junction Technology, pp.114-119, 2008.

A. Colin, Etude des couplages radiatifs et thermiques et des modifications physicochimiques engendrés par un recuit laser milliseconde sur la grille polysilicium de la technologie CMOS 45 nm, 2010.

Y. Takamura, Thermal stability of dopants in laser annealed silicon, Journal of Applied Physics, vol.92, issue.1, 2003.
DOI : 10.1063/1.1481975

G. Hobler and S. Selberherr, Two-dimensional modeling of ion implantation induced point defects Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.7, issue.2, pp.174-180, 1988.

J. Biersack, Basic physical aspects of high energy implantation Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with, Materials and Atoms, vol.35, pp.3-4, 1988.

S. Tian, Predictive Monte Carlo ion implantation simulator from sub-keV to above 10 MeV, Journal of Applied Physics, vol.93, issue.10, pp.5893-5904, 2003.
DOI : 10.1063/1.1565690

M. Posselt, Crystal-trim and its application to investigations on channeling effects during ion implantation, Radiation Effects and Defects in Solids, vol.66, issue.1, pp.87-119, 1994.
DOI : 10.1016/0029-554X(81)90717-5

I. Martin-bragado, Simulación atomística de procesos para Microelectrónica, 2004.

J. Singer, Etude des jonctions ultrafines pour les technologies CMOS 45 nm et en deçà par simulation atomistique, Thèsè a l'Institut National des Sciences Appliquées de Lyon, 2008.

S. T. Dunham, A Quantitative Model for the Coupled Diffusion of Phosphorus and Point Defects in Silicon, Journal of The Electrochemical Society, vol.139, issue.9, pp.2628-2636, 1992.
DOI : 10.1149/1.2221276

S. Dunham, Consistent Quantitative Models For The Coupled Diffusion Of Dopants And Point Defects In Silicon, [Proceedings] 1993 International Workshop on VLSI Process and Device Modeling (1993 VPAD), pp.46-49, 1993.
DOI : 10.1109/VPAD.1993.724717

N. E. Cowern, G. Mannino, P. A. Stolk, F. Roozeboom, H. G. Huizing et al., Energetics of Self-Interstitial Clusters in Si, Physical Review Letters, vol.82, issue.22, pp.4460-4463, 1999.
DOI : 10.1103/PhysRevLett.82.4460

A. H. Gencer and S. T. Dunham, A combined model for {311} defect and dislocation loop evolution: Analytical formulation of kinetic precipitation model, Journal of Applied Physics, vol.91, issue.5, pp.2883-2889, 2002.
DOI : 10.1063/1.1446223

C. Zechner, N. Zographos, D. Matveev, and A. Erlebach, Accurate and efficient TCAD model for the formation and dissolution of small interstitial clusters and {311} defects in silicon, Materials Science and Engineering: B, vol.124, issue.125, pp.124-125, 2005.
DOI : 10.1016/j.mseb.2005.08.010

N. Zographos, C. Zechner, and I. Avci, Efficient TCAD Model for the Evolution of Interstitial Clusters, {311} Defects, and Dislocation Loops in Silicon, MRS Proceedings, 2007.
DOI : 10.1063/1.364099

C. Zechner, D. Matveev, N. Zographos, W. Lerch, and S. Paul, Simulation of dopant diffusion and activation during flash lamp annealing, Materials Science and Engineering: B, vol.154, issue.155, pp.20-23, 2008.
DOI : 10.1016/j.mseb.2008.10.005

E. Bazizi, P. Fazzini, C. Zechner, A. Tsibizov, H. Kheyrandish et al., Modelling of Boron Trapping at End-of-Range defects in pre-amorphized ultra-shallow junctions, Materials Science and Engineering: B, vol.154, issue.155, pp.154-155, 2008.
DOI : 10.1016/j.mseb.2008.09.044

E. M. Bazizi, Modélisation physique et simulation de défautsdéfautsétendus et diffusion des dopants dans le Si, SOI et SiGe pour les MOS avancés, 2010.

B. J. Pawlak, R. Duffy, T. Janssens, W. Vandervorst, S. B. Felch et al., Suppression of phosphorus diffusion by carbon co-implantation, Applied Physics Letters, vol.89, issue.6, p.62102, 2006.
DOI : 10.1063/1.2234315

K. Vollenweider, B. Sahli, N. Zographos, and C. Zechner, calculations and kinetic Monte Carlo model, Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol.28, issue.1, pp.1-1, 2010.
DOI : 10.1116/1.3253328

D. Roulston, N. Arora, and S. G. Chamberlain, Modeling and measurement of minority-carrier lifetime versus doping in diffused layers of n<sup>+</sup>-p silicon diodes, IEEE Transactions on Electron Devices, vol.29, issue.2, pp.284-291, 1982.
DOI : 10.1109/T-ED.1982.20697

M. Tyagi and R. V. Overstraeten, Minority carrier recombination in heavily-doped silicon, Solid-State Electronics, vol.26, issue.6, pp.577-597, 1983.
DOI : 10.1016/0038-1101(83)90174-0

H. Goebel and K. Hoffmann, Full dynamic power diode model including temperature behavior for use in circuit simulators, Proceedings of the 4th International Symposium on Power Semiconductor Devices and Ics, pp.130-135, 1992.
DOI : 10.1109/ISPSD.1992.991249

G. A. Hurkx, D. B. Klaassen, M. P. Knuvers, and F. O. Hara, A new recombination model describing heavy-doping effects and low-temperature behaviour, International Technical Digest on Electron Devices Meeting, pp.307-310, 1989.
DOI : 10.1109/IEDM.1989.74285

A. Schenk, Rigorous theory and simplified model of the band-to-band tunneling in silicon, Solid-State Electronics, vol.36, issue.1, pp.19-34, 1993.
DOI : 10.1016/0038-1101(93)90065-X

E. O. Kane, Theory of Tunneling, Journal of Applied Physics, vol.32, issue.1, pp.83-91, 1961.
DOI : 10.1063/1.1735965

A. Schenk, A model for the field and temperature dependence of Shockley-Read-Hall lifetimes in silicon, Solid-State Electronics, vol.35, issue.11, pp.1585-1596, 1992.
DOI : 10.1016/0038-1101(92)90184-E

H. Strack, Ion Bombardment of Silicon in a Glow Discharge, Journal of Applied Physics, vol.34, issue.8, pp.2405-2409, 1963.
DOI : 10.1063/1.1702756

S. Qin, K. Brumfield, L. J. Liu, Y. J. Hu, A. Mcteer et al., Plasma chemistry study of PLAD processes, AIP Conference Proceedings, vol.1496, issue.1, pp.380-385, 2012.
DOI : 10.1063/1.4766568

Y. Spiegel, F. Torregrosa, H. Etienne, S. B. Felch, L. Roux et al., Different profile responses to dose variation for B 2 H 6 and BF 3 plasma doping using PULSION R, AIP Conference Proceedings, vol.1321, issue.1, pp.158-160, 2011.

S. B. Felch, F. Torregrosa, H. Etienne, Y. Spiegel, L. Roux et al., PULSION?? HP: Tunable, High Productivity Plasma Doping, AIP Conference Proceedings, vol.1321, issue.1, pp.333-336, 2011.
DOI : 10.1063/1.3548413

V. Vervisch, Etude et réalisation de jonctions ultra fines P+/N par la technique d'implantation ionique par immersion plasma. Application aux cellules photovolta¨?quesphotovolta¨?ques, 2007.

M. A. Lieberman and A. J. Lichtenberg, Principles of plasma discharges and materials processing: 2 nd E dition, 2005.
DOI : 10.1002/0471724254

L. Godet, Z. Fang, S. Radovanov, S. Walther, E. Arevalo et al., Plasma doping implant depth profile calculation based on ion energy distribution measurements, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.5, pp.2391-2397, 2006.
DOI : 10.1116/1.2353841

URL : https://hal.archives-ouvertes.fr/hal-00379624

M. A. Lieberman, Model of plasma immersion ion implantation, Journal of Applied Physics, vol.66, issue.7, pp.2926-2929, 1989.
DOI : 10.1063/1.344172

V. Vahedi, M. A. Lieberman, M. V. Alves, J. P. Verboncoeur, and C. K. Birdsall, A one???dimensional collisional model for plasma???immersion ion implantation, Journal of Applied Physics, vol.69, issue.4, pp.2008-2014, 1991.
DOI : 10.1063/1.348774

D. Wang, A theoretical model for neutral velocity distributions at a planar target in plasma source ion implantation, Journal of Applied Physics, vol.85, issue.8, pp.3949-3951, 1999.
DOI : 10.1063/1.370295

F. Severac, Jonctions ultra-minces p+/n pour MOS " ultimes " : ´ etude de l'impact des défauts cristallins sur la mobilité et l'activation du bore, Thèse l'Université Toulouse III -Paul Sabatier, 2009.

F. Panciera, Studio sperimentale dell'attivazione di Boro in Germanio per applicazioni in dispositivi CMOS avanzati, 2009.

F. Cristiano, Z. Essa, Y. Qiu, Y. Spiegel, F. Torregrosa et al., Implantation-induced structural defects in highly activated USJs: Boron precipitation and trapping in pre-amorphised silicon, 2012 12th International Workshop on Junction Technology, pp.131-137, 2012.
DOI : 10.1109/IWJT.2012.6212827

Z. Essa, F. Cristiano, Y. Spiegel, P. Boulenc, Y. Qiu et al., BF[sub 3] PIII modeling: Implantation, amorphisation and diffusion, AIP Conference Proceedings, vol.1496, issue.1, pp.237-240, 2012.
DOI : 10.1063/1.4766532

B. P. Linder and N. W. Cheung, Modeling of energy distributions for plasma implantation, Surface and Coatings Technology, vol.136, issue.1-3, pp.132-137, 2001.
DOI : 10.1016/S0257-8972(00)01042-2

S. Tian, Predictive Monte Carlo ion implantation simulator from sub-keV to above 10 MeV, Journal of Applied Physics, vol.93, issue.10, pp.5893-5904, 2003.
DOI : 10.1063/1.1565690

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine et al., Simulation of plasma immersion ion implantation, 2011 International Conference on Simulation of Semiconductor Processes and Devices, pp.231-234, 2011.
DOI : 10.1109/SISPAD.2011.6034962

M. Ullrich, A. Burenkov, and H. , Ion sputtering at grazing incidence for SIMS-analysis Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with, Proc. of the 7 th International Conference on Computer Simulation of Radiation Effects in Solids, pp.373-377, 2005.

A. Burenkov, A. Hahn, Y. Spiegel, H. Etienne, and F. Torregrosa, Simulation of BF[sub 3] plasma immersion ion implantation into silicon, AIP Conference Proceedings, vol.1496, issue.1, pp.233-236, 2012.
DOI : 10.1063/1.4766531

X. Tian, Z. Zeng, X. Zeng, B. Tang, and P. Chu, Efficacy of high-frequency, low-voltage plasma immersion ion implantation of a bar-shaped target, Journal of Applied Physics, vol.88, issue.5, pp.2221-2225, 2000.
DOI : 10.1063/1.1287221

J. E. Gentle, Random Number Generation and Monte Carlo Methods, 2003.
DOI : 10.1007/978-1-4757-2960-3

A. Armigliato, D. Nobili, P. Ostoja, M. Servidori, and S. Solmi, Solubility and precipitation of boron in silicon and supersaturation resulting by thermal predeposition, 1977.

S. Dunham, Consistent Quantitative Models For The Coupled Diffusion Of Dopants And Point Defects In Silicon, [Proceedings] 1993 International Workshop on VLSI Process and Device Modeling (1993 VPAD), pp.46-49, 1993.
DOI : 10.1109/VPAD.1993.724717

C. Zechner, D. Matveev, N. Zographos, W. Lerch, and S. Paul, Simulation of dopant diffusion and activation during flash lamp annealing, Materials Science and Engineering: B, vol.154, issue.155, pp.20-23, 2008.
DOI : 10.1016/j.mseb.2008.10.005

M. Diebel and S. T. Dunham, Ab-initio Calculations to Model Anomalous Fluorine Behavior, MRS Proceedings, vol.47, p.245901, 2004.
DOI : 10.1557/PROC-717-C4.5

C. Zechner, N. Zographos, D. Matveev, and A. Erlebach, Accurate and efficient TCAD model for the formation and dissolution of small interstitial clusters and {311} defects in silicon, Materials Science and Engineering: B, vol.124, issue.125, pp.124-125, 2005.
DOI : 10.1016/j.mseb.2005.08.010

N. Zographos, C. Zechner, and I. Avci, Efficient TCAD Model for the Evolution of Interstitial Clusters, {311} Defects, and Dislocation Loops in Silicon, MRS Proceedings, 2007.
DOI : 10.1063/1.364099

E. Bazizi, P. Fazzini, C. Zechner, A. Tsibizov, H. Kheyrandish et al., Modelling of Boron Trapping at End-of-Range defects in pre-amorphized ultra-shallow junctions, Materials Science and Engineering: B, vol.154, issue.155, pp.154-155, 2008.
DOI : 10.1016/j.mseb.2008.09.044

A. Claverie, B. Colombeau, B. De-mauduit, C. Bonafos, X. Hebras et al., Extended defects in shallow implants, Applied Physics A: Materials Science & Processing, vol.76, issue.7, pp.1025-1033, 2003.
DOI : 10.1007/s00339-002-1944-0

F. Cristiano, Z. Essa, Y. Qiu, Y. Spiegel, F. Torregrosa et al., Residual structural defects in highly activated implanted USJs by advanced processes: millisecond annealing and plasma implants, 19th International Conference on Ion Implantation Technology, 2012.

A. Agarwal, H. Gossmann, D. Eaglesham, L. Pelaz, S. Herner et al., Damage, defects and diffusion from ultra-low energy (0???5 keV) ion implantation of silicon, Materials Science in Semiconductor Processing, vol.1, issue.1, pp.17-25, 1998.
DOI : 10.1016/S1369-8001(98)00008-0

F. Cristiano, X. Hebras, N. Cherkashin, A. Claverie, W. Lerch et al., Clusters formation in ultralow-energy high-dose boron-implanted silicon, Applied Physics Letters, vol.83, issue.26, pp.5407-5409, 2003.
DOI : 10.1063/1.1637440

S. Boninelli, S. Mirabella, E. Bruno, F. Priolo, F. Cristiano et al., Evolution of boron-interstitial clusters in crystalline Si studied by transmission electron microscopy, Applied Physics Letters, vol.91, issue.3, p.31905, 2007.
DOI : 10.1063/1.2757145

N. Cherkashin, M. J. H¨ytchh¨ytch, F. Cristiano, and A. Claverie, Structure determination of clusters formed in ultra-low energy high-dose implanted silicon, Solid State Phenomena, pp.108-109, 2005.

G. Masetti, M. Severi, and S. Solmi, Modeling of carrier mobility against carrier concentration in arsenic-, phosphorus-, and boron-doped silicon, IEEE Transactions on Electron Devices, vol.30, issue.7, pp.764-769, 1983.
DOI : 10.1109/T-ED.1983.21207

B. Gault, F. Vurpillot, A. Vella, M. Gilbert, A. Menand et al., Design of a femtosecond laser assisted tomographic atom probe, Review of Scientific Instruments, vol.77, issue.4, p.43705, 2006.
DOI : 10.1063/1.2194089

S. Koelling, O. Richard, H. Bender, M. Uematsu, A. Schulze et al., Direct Imaging of 3D Atomic-Scale Dopant-Defect Clustering Processes in Ion-Implanted Silicon, Nano Letters, vol.13, issue.6, pp.2458-2462, 2013.
DOI : 10.1021/nl400447d

M. Aboy, L. Pelaz, E. Bruno, S. Mirabella, and S. Boninelli, Kinetics of large B clusters in crystalline and preamorphized silicon, Journal of Applied Physics, vol.110, issue.7, p.73524, 2011.
DOI : 10.1063/1.3639280

M. Ngamo, S. Duguay, F. Cristiano, K. Daoud-ketata, and P. Pareige, Atomic scale study of boron interstitial clusters in ion-implanted silicon, IMPLANTATION-INDUCED DEFECTS AND THEIR IMPACT ON DOPANT DIFFUSION AND ACTIVATION, p.104904, 2009.
DOI : 10.1063/1.3126498

O. Cojocaru-mirédin, F. Cristiano, P. Fazzini, D. Mangelinck, and D. Blavette, Extended defects and precipitation in heavily B-doped silicon, Thin Solid Films, vol.534, issue.0, pp.62-66, 2013.
DOI : 10.1016/j.tsf.2013.01.090

D. Blavette, M. Bonvalet, H. Wang, O. Cojocaru-mirédin, and S. Duguay, Atomic-scale investigation of precipitation in implanted silicon, E-MRS Spring Meeting Symp, 2013.

T. B. Massalski, Binary Alloy Phase Diagrams, 1996.

D. D. Salvador, E. Napolitani, G. Bisognin, A. Carnera, E. Bruno et al., Experimental evidences for two paths in the dissolution process of B clusters in crystalline Si, Applied Physics Letters, vol.87, issue.22, p.221902, 2005.
DOI : 10.1063/1.2126128

L. Pelaz, M. Jaraiz, G. H. Gilmer, H. Gossmann, C. S. Rafferty et al., B diffusion and clustering in ion implanted Si: The role of B cluster precursors, Applied Physics Letters, vol.70, issue.17, pp.2285-2287, 1997.
DOI : 10.1063/1.118839

L. Pelaz, G. H. Gilmer, H. Gossmann, C. S. Rafferty, M. Jaraiz et al., B cluster formation and dissolution in Si: A scenario based on atomistic modeling, Applied Physics Letters, vol.74, issue.24, pp.3657-3659, 1999.
DOI : 10.1063/1.123213

Z. Essa, F. Cristiano, O. Cojocaru-mirédin, D. Mangelinck, D. Blavette et al., Large boron interstitial clusters continuum modeling, 2013.
DOI : 10.1002/pssc.201300165

A. H. Gencer and S. T. Dunham, A combined model for {311} defect and dislocation loop evolution: Analytical formulation of kinetic precipitation model, Journal of Applied Physics, vol.91, issue.5, pp.2883-2889, 2002.
DOI : 10.1063/1.1446223

M. Hasanuzzaman, Y. M. Haddara, and A. P. Knights, A mathematical model for void evolution in silicon by helium implantation and subsequent annealing process, Journal of Applied Physics, vol.112, issue.6, p.64302, 2012.
DOI : 10.1063/1.4751437

X. Hebras, F. Cristiano, N. Cherkashin, W. Lerch, S. Paul et al., Structure and thermal evolution of small clusters found in ultra low energy high dose boron implanted silicon, 2003.

J. W. Corbett, J. P. Karins, and T. Y. Tan, Ion-induced defects in semiconductors, Nuclear Instruments and Methods, vol.182, issue.183, pp.457-476, 1981.
DOI : 10.1016/0029-554X(81)90717-5

E. Lampin, F. Cristiano, Y. Lamrani, A. Claverie, B. Colombeau et al., Prediction of boron transient enhanced diffusion through the atom-by-atom modeling of extended defects, Journal of Applied Physics, vol.94, issue.12, pp.7520-7525, 2003.
DOI : 10.1063/1.1627461

URL : https://hal.archives-ouvertes.fr/hal-00146393

C. J. Ortiz, P. Pichler, T. Fühner, F. Cristiano, B. Colombeau et al., A physically based model for the spatial and temporal evolution of self-interstitial agglomerates in ion-implanted silicon, Journal of Applied Physics, vol.96, issue.9, pp.4866-4877, 2004.
DOI : 10.1063/1.1786678

R. Y. Huang and R. W. Dutton, Experimental investigation and modeling of the role of extended defects during thermal oxidation, Journal of Applied Physics, vol.74, issue.9, pp.5821-5827, 1993.
DOI : 10.1063/1.355306

C. Bonafos, D. Mathiot, and A. Claverie, Ostwald ripening of end-of-range defects in silicon, Journal of Applied Physics, vol.83, issue.6, pp.3008-3017, 1998.
DOI : 10.1063/1.367056

S. M. Hu, Growth law for disk precipitates, and oxygen precipitation in silicon, Applied Physics Letters, vol.48, issue.2, pp.115-117, 1986.
DOI : 10.1063/1.96969

H. Föll and C. B. Carter, Direct TEM determination of intrinsic and extrinsic stacking fault energies of silicon, Philosophical Magazine A, vol.2, issue.4, pp.497-510, 1979.
DOI : 10.1080/14786437708232975

P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon, Computational Microelectronics, 2004.
DOI : 10.1007/978-3-7091-0597-9

F. Cristiano, Y. Lamrani, F. Severac, M. Gavelle, S. Boninelli et al., Defects evolution and dopant activation anomalies in ion implanted silicon, Physics Research Section B: Beam Interactions with Materials and Atoms, pp.68-79, 2006.
DOI : 10.1016/j.nimb.2006.10.046

P. K. Janert, Gnuplot in Action: Understanding Data with Graphs, 2010.

Y. Zhang, A modified effective binary diffusion model, Journal of Geophysical Research: Solid Earth, vol.102, issue.44, pp.11901-11920, 1993.
DOI : 10.1029/93JB00422

W. Hofker, H. Werner, D. Oosthoek, and H. Grefte, Influence of annealing on the concentration profiles of boron implantations in silicon, Applied physics, vol.41, issue.5, pp.265-278, 1973.
DOI : 10.1007/BF00889509

N. E. Cowern, K. T. Janssen, and H. F. Jos, Transient diffusion of ion???implanted B in Si: Dose, time, and matrix dependence of atomic and electrical profiles, Journal of Applied Physics, vol.68, issue.12, pp.6191-6198, 1990.
DOI : 10.1063/1.346910

R. Duffy, V. C. Venezia, A. Heringa, T. W. Hüsken, M. J. Hopstaken et al., Boron uphill diffusion during ultrashallow junction formation, Applied Physics Letters, vol.82, issue.21, pp.3647-3649, 2003.
DOI : 10.1063/1.1578512

Z. Essa, F. Cristiano, Y. Spiegel, Y. Qiu, P. Boulenc et al., Large boron-interstitial clusters modelling in BF 3 plasma implanted silicon, Physica Status Solidi, 2013.

B. Pelletier, Modélisation et simulation numérique de l'exo-diffusion du bore dans les oxydes encapsulés des technologies CMOS déca-nanométriques, 2011.

P. Kohli, S. Chakravarthi, A. Jain, H. Bu, M. Mehrotra et al., Fundamental characterization of the effect of nitride sidewall spacer process on boron dose loss in ultra-shallow junction formation, Symposium B, Material Science Issues in Advanced CMOS Source -drain Engineering, pp.390-396, 2004.
DOI : 10.1016/j.mseb.2004.07.080

P. Kohli, A. Jain, H. Bu, S. Chakravarthi, C. Machala et al., Effect of nitride sidewall spacer process on boron dose loss in ultrashallow junction formation, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.1, pp.471-476, 2004.
DOI : 10.1116/1.1642645

P. Morin, F. Wacquant, M. Juhel, C. Laviron, and D. Lenoble, Influence of the spacer dielectric processes on PMOS junction properties, EMRS 2005, Symposium D -Materials Science and Device Issues for Future Technologies, pp.124-125, 2005.
DOI : 10.1016/j.mseb.2005.08.031

B. Pelletier, M. Juhel, C. Trouiller, D. Beucher, J. Autran et al., Boron out-diffusion mechanism in oxide and nitride cmos sidewall spacer: Impact of the materials properties Front-End Junction and Contact Formation in Future Silicon, Materials Science and Engineering: B, vol.154, issue.155, pp.252-255, 2008.

R. B. Fair, Physical Models of Boron Diffusion in Ultrathin Gate Oxides, Journal of The Electrochemical Society, vol.144, issue.2, pp.708-717, 1997.
DOI : 10.1149/1.1837473

Y. Shacham-diamand and W. G. Oldham, The effect of hydrogen on boron diffusion in SiO2, Journal of Electronic Materials, vol.118, issue.4, pp.229-233, 1986.
DOI : 10.1007/BF02659636

T. Aoyama, K. Suzuki, H. Tashiro, Y. Tada, Y. Kataoka et al., Chemical Vapor Deposition, Japanese Journal of Applied Physics, vol.38, issue.Part 1, No. 4B, pp.2381-2384, 1999.
DOI : 10.1143/JJAP.38.2381

J. A. Theil, D. V. Tsu, M. W. Watkins, S. S. Kim, and G. Lucovsky, deposited by remote plasma???enhanced chemical vapor deposition and incorporated by postdeposition exposure to water vapor, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.8, issue.3, pp.1374-1381, 1990.
DOI : 10.1116/1.576885

D. Avasthi, M. Acharya, R. Tarey, L. Malhotra, and G. Mehta, Hydrogen profiling and the stoichiometry of an a-SiNx: H film, Vacuum, vol.46, issue.3, pp.265-267, 1995.
DOI : 10.1016/0042-207X(94)00056-5

M. S. Haque, H. A. Naseem, and W. D. Brown, Correlation of stress behavior with hydrogen-related impurities in plasma-enhanced chemical vapor deposited silicon dioxide films, Journal of Applied Physics, vol.82, issue.6, pp.2922-2932, 1997.
DOI : 10.1063/1.366126

S. Chakravarthi, P. Kohli, P. Chidambaram, H. Bu, A. Jain et al., Modeling the effect of source/drain sidewall spacer process on boron ultra shallow junctions, International Conference on Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003., pp.159-162, 2003.
DOI : 10.1109/SISPAD.2003.1233661

A. Fick, Ueber Diffusion, Annalen der Physik und Chemie, vol.91, issue.1, pp.59-86
DOI : 10.1002/andp.18551700105

P. Morin, B. Pelletier, E. Lafosse, and L. Plantier, Thermal stress hysteresis and hydrogen effusion of meta-stable PECVD silicon nitride films, 2013.

G. Gadiyak, V. Gadiyak, M. Kosinova, and E. Salman, Model and computer simulation results of defect transformation and decomposition of SiNx:H films during high temperature treatment, Thin Solid Films, vol.335, issue.1-2, pp.19-26, 1998.
DOI : 10.1016/S0040-6090(98)00866-9

D. Benoit, J. Regolini, and P. Morin, Hydrogen desorption and diffusion in PECVD silicon nitride. Application to passivation of CMOS active pixel sensors, Microelectronic Engineering, vol.84, issue.9-10, pp.9-10, 2007.
DOI : 10.1016/j.mee.2007.04.071

Y. Saito, T. Kagiyama, and S. Nakajima, Thermal Expansion and Atomic Structure of Amorphous Silicon Nitride Thin Films, Japanese Journal of Applied Physics, vol.42, issue.Part 2, No. 10A, pp.1175-1177, 2003.
DOI : 10.1143/JJAP.42.L1175

P. J. Chen and R. M. Wallace, Deuterium transport through device structures, Journal of Applied Physics, vol.86, issue.4, pp.2237-2244, 1999.
DOI : 10.1063/1.371036

W. M. Bik, R. N. Linssen, F. H. Habraken, W. F. Van-der-weg, and A. E. Kuiper, Diffusion of hydrogen in low???pressure chemical vapor deposited silicon nitride films, Applied Physics Letters, vol.56, issue.25, pp.2530-2532, 1990.
DOI : 10.1063/1.103261

M. Takagi, I. Yoshii, and K. Hashimoto, Characterization of hot-carrier-induced degradation of MOSFETs enhanced by H/sub 2/O diffusion for multilevel interconnection processing, International Technical Digest on Electron Devices Meeting, pp.703-706, 1992.
DOI : 10.1109/IEDM.1992.307456

T. Bakos, S. N. Rashkeev, and S. T. Pantelides, Defect formation and annihilation mechanisms, Physical Review B, vol.69, issue.19, p.195206, 2004.
DOI : 10.1103/PhysRevB.69.195206

F. Lau, L. Mader, C. Mazure, C. Werner, and M. Orlowski, A model for phosphorus segregation at the silicon-silicon dioxide interface, Applied Physics A: Materials Science & Processing, pp.671-675, 1007.
DOI : 10.1007/BF00616992

Y. Oh and D. Ward, A calibrated model for trapping of implanted dopants at material interface during thermal annealing, Electron Devices Meeting, 1998. IEDM '98 Technical Digest., International, pp.509-512, 1998.

S. Mirabella, D. D. Salvador, E. Napolitani, E. Bruno, and F. Priolo, Mechanisms of boron diffusion in silicon and germanium, Journal of Applied Physics, vol.113, issue.3, p.31101, 2013.
DOI : 10.1063/1.4763353

N. E. Cowern, K. T. Janssen, and H. F. Jos, Transient diffusion of ion???implanted B in Si: Dose, time, and matrix dependence of atomic and electrical profiles, Journal of Applied Physics, vol.68, issue.12, pp.6191-6198, 1990.
DOI : 10.1063/1.346910

C. S. Nichols, C. G. Van-de-walle, and S. T. Pantelides, Mechanisms of equilibrium and nonequilibrium diffusion of dopants in silicon, Physical Review Letters, vol.62, issue.9, pp.1049-1052, 1989.
DOI : 10.1103/PhysRevLett.62.1049

Z. Essa, B. Pelletier, P. Morin, P. Boulenc, A. Pakfar et al., Boron dose loss modeling in CMOS spacer stacks, 2013.

G. Masetti, M. Severi, and S. Solmi, Modeling of carrier mobility against carrier concentration in arsenic-, phosphorus-, and boron-doped silicon, IEEE Transactions on Electron Devices, vol.30, issue.7, pp.764-769, 1983.
DOI : 10.1109/T-ED.1983.21207

Z. Essa, C. Gaumer, A. Pakfar, M. Gros-jean, M. Juhel et al., /Si high-k stacks, Applied Physics Letters, vol.101, issue.18, p.182901, 2012.
DOI : 10.1063/1.4764558

G. D. Wilk, R. M. Wallace, and J. M. Anthony, High-?? gate dielectrics: Current status and materials properties considerations, Journal of Applied Physics, vol.89, issue.10, pp.5243-5275, 2001.
DOI : 10.1063/1.1361065

B. H. Lee, L. Kang, W. Qi, R. Nieh, Y. Jeon et al., Ultrathin hafnium oxide with low leakage and excellent reliability for alternative gate dielectric application, Electron Devices Meeting, 1999. IEDM '99. Technical Digest. International, pp.133-136, 1999.

M. A. Quevedo-lopez, S. A. Krishnan, P. D. Kirsch, G. Pant, B. E. Gnade et al., Ultrascaled hafnium silicon oxynitride gate dielectrics with excellent carrier mobility and reliability, Applied Physics Letters, vol.87, issue.26, p.262902, 2005.
DOI : 10.1063/1.2150586

V. Narayanan, V. Paruchuri, N. Bojarczuk, B. Linder, B. Doris et al., Band-Edge High-Performance High-k/Metal Gate n-MOSFETs Using Cap Layers Containing Group IIA and IIIB Elements with Gate-First Processing for 45 nm and Beyond, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers., pp.178-179, 2006.
DOI : 10.1109/VLSIT.2006.1705275

P. D. Kirsch, P. Sivasubramani, J. Huang, C. D. Young, M. A. Quevedo-lopez et al., Dipole model explaining high-k/metal gate field effect transistor threshold voltage tuning, Applied Physics Letters, vol.92, issue.9, p.92901, 2008.
DOI : 10.1063/1.2890056

H. N. Alshareef, M. Quevedo-lopez, H. C. Wen, R. Harris, P. Kirsch et al., Work function engineering using lanthanum oxide interfacial layers, Applied Physics Letters, vol.89, issue.23, p.232103, 2006.
DOI : 10.1063/1.2396918

E. Martinez, P. Ronsheim, J. Barnes, N. Rochat, M. Py et al., Lanthanum diffusion in the TiN/LaOx/HfSiO/SiO2/Si stack, Microelectronic Engineering, vol.88, issue.7, pp.1349-1352, 2011.
DOI : 10.1016/j.mee.2011.03.061

R. Boujamaa, S. Baudot, N. Rochat, R. Pantel, E. Martinez et al., /HfSiON/SiON/Si gate stacks, SiON/Si gate stacks, p.54110, 2012.
DOI : 10.1063/1.3684709

URL : https://hal.archives-ouvertes.fr/hal-01067605

K. Kita and A. Toriumi, Origin of electric dipoles formed at high-k/SiO2 interface, Applied Physics Letters, vol.94, issue.13, p.132902, 2009.
DOI : 10.1063/1.3110968

L. Lin and J. Robertson, interface, Journal of Applied Physics, vol.109, issue.9, p.94502, 2011.
DOI : 10.1063/1.3583655

URL : https://hal.archives-ouvertes.fr/hal-00962273

F. Panciera, S. Baudot, K. Hoummada, M. Gregoire, M. Juhel et al., metal gate: Impact on transistor voltage threshold, Applied Physics Letters, vol.100, issue.20, p.201909, 2012.
DOI : 10.1063/1.4717742

M. Copel, S. Guha, N. Bojarczuk, E. Cartier, V. Narayanan et al., Interaction of La2O3 capping layers with HfO2 gate dielectrics, Applied Physics Letters, vol.95, issue.21, p.212903, 2009.
DOI : 10.1063/1.3268456

A. H. Cheng and D. T. Cheng, Heritage and early history of the boundary element method, Engineering Analysis with Boundary Elements, vol.29, issue.3, pp.268-302, 2005.
DOI : 10.1016/j.enganabound.2004.12.001

M. A. Quevedo-lopez, S. A. Krishnan, D. Kirsch, C. Li, J. H. Sim et al., High performance gate first HfSiON dielectric satisfying 45nm node requirements, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., pp.4-428, 2005.
DOI : 10.1109/IEDM.2005.1609369

J. Maria, D. Wicaksana, A. I. Kingon, B. Busch, H. Schulte et al., High temperature stability in lanthanum and zirconia-based gate dielectrics, Journal of Applied Physics, vol.90, issue.7, pp.3476-3482, 2001.
DOI : 10.1063/1.1391418

C. Zechner, Z. Essa, and M. Hackenberg, Report on models for dopant diffusion and segregation under the high-k/metal gate stack, 2013.

D. Gopireddy and C. G. Takoudis, Diffusion-reaction modeling of silicon oxide interlayer growth during thermal annealing of high dielectric constant materials on silicon, Physical Review B, vol.77, issue.20, p.205304, 2008.
DOI : 10.1103/PhysRevB.77.205304

M. Hackenberg, P. Pichler, S. Baudot, Z. Essa, M. Gros-jean et al., Influence of La on the electrical properties of HfSiON: From diffusion to Vth shifts, Microelectronic Engineering, vol.109, issue.0, pp.200-203, 2013.
DOI : 10.1016/j.mee.2013.03.071

Z. Essa, B. Pelletier, P. Morin, P. Boulenc, A. Pakfar et al., Boron dose loss modeling in CMOS spacer stacks, 2013.

T. Skotnicki, C. Fenouillet-beranger, C. Gallon, F. Buf, S. Monfray et al., Innovative Materials, Devices, and CMOS Technologies for Low-Power Mobile Multimedia, Innovative Materials, Devices, and CMOS Technologies for Low- Power Mobile Multimedia, pp.96-130, 2008.
DOI : 10.1109/TED.2007.911338

O. Faynot, F. Andrieu, O. Weber, C. Fenouillet-beranger, P. Perreau et al., Planar Fully depleted SOI technology: A powerful architecture for the 20nm node and beyond, 2010 International Electron Devices Meeting, pp.3-5, 2010.
DOI : 10.1109/IEDM.2010.5703287

A. Khakifirooz, K. Cheng, A. Reznicek, T. Adam, N. Loubet et al., Scalability of Extremely Thin SOI (ETSOI) MOSFETs to Sub-20-nm Gate Length, IEEE Electron Device Letters, vol.33, issue.2, pp.149-151, 2012.
DOI : 10.1109/LED.2011.2174411

E. Lampin, F. Cristiano, Y. Lamrani, A. Claverie, B. Colombeau et al., Prediction of boron transient enhanced diffusion through the atom-by-atom modeling of extended defects, Journal of Applied Physics, vol.94, issue.12, pp.7520-7525, 2003.
DOI : 10.1063/1.1627461

URL : https://hal.archives-ouvertes.fr/hal-00146393

E. M. Bazizi, P. F. Fazzini, A. Pakfar, C. Tavernier, B. Vandelle et al., Modeling of the effect of the buried Si???SiO2 interface on transient enhanced boron diffusion in silicon on insulator, Modeling of the effect of the buried Si?SiO 2 interface on transient enhanced boron diffusion in silicon on insulator, p.74503, 2010.
DOI : 10.1063/1.3369160

E. M. Bazizi, Modélisation physique et simulation de défautsdéfautsétendus et diffusion des dopants dans le Si, SOI et SiGe pour les MOS avancés, 2010.

F. Cristiano, Y. Lamrani, F. Severac, M. Gavelle, S. Boninelli et al., Defects evolution and dopant activation anomalies in ion implanted silicon, Physics Research Section B: Beam Interactions with Materials and Atoms, pp.68-79, 2006.
DOI : 10.1016/j.nimb.2006.10.046

D. Hisamoto, T. Kaga, Y. Kawamoto, and E. Takeda, A fully depleted lean-channel transistor (DELTA)-a novel vertical ultra thin SOI MOSFET, International Technical Digest on Electron Devices Meeting, pp.833-836, 1989.
DOI : 10.1109/IEDM.1989.74182

R. Chau, B. Doyle, J. Kavalieros, D. Barlage, A. Murthy et al., Advanced Depleted-Substrate Transistors: Single-gate, Double-gate and Tri-gate, Extended Abstracts of the 2002 International Conference on Solid State Devices and Materials, pp.68-69, 2002.
DOI : 10.7567/SSDM.2002.D-1-1

G. Bidal, F. Boeuf, S. Denorme, N. Loubet, J. Huguenin et al., High velocity Si-nanodot : A candidate for SRAM applications at 16nm node and below, VLSI Technology, 2009 Symposium on, pp.240-241, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00604254

S. Sato, Y. Lee, K. Kakushima, P. Ahmet, K. Ohmori et al., Gate semi-around Si nanowire FET fabricated by conventional CMOS process with very high drivability, 2010 Proceedings of the European Solid State Device Research Conference, pp.361-364, 2010.
DOI : 10.1109/ESSDERC.2010.5618212

C. Auth, C. Allen, A. Blattner, D. Bergstrom, M. Brazier et al., A 22nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors, 2012 Symposium on VLSI Technology (VLSIT), pp.131-132, 2012.
DOI : 10.1109/VLSIT.2012.6242496

M. Saitoh, K. Ota, C. Tanaka, K. Uchida, and T. Numata, 10nm-diameter tri-gate silicon nanowire MOSFETs with enhanced high-field transport and Vth tunability through thin BOX, VLSI Technology (VLSIT), 2012 Symposium on, pp.11-12, 2012.

Y. Sasaki, K. Okashita, K. Nakamoto, T. Kitaoka, B. Mizuno et al., Conformal doping for FinFETs and precise controllable shallow doping for planar FET manufacturing by a novel B<inf>2</inf>H<inf>6</inf>/Helium Self-Regulatory Plasma Doping process, 2008 IEEE International Electron Devices Meeting, pp.1-4, 2008.
DOI : 10.1109/IEDM.2008.4796850

K. Han, S. Tang, T. Rockwell, L. Godet, H. Persing et al., A novel plasma-based technique for conformal 3D FINFET doping, 2012 12th International Workshop on Junction Technology, pp.35-37, 2012.
DOI : 10.1109/IWJT.2012.6212805

T. Izumida, K. Okano, T. Kanemura, M. Kondo, S. Inaba et al., Advantage of Plasma Doping for Source/Drain Extension in Bulk Fin Field Effect Transistor, Japanese Journal of Applied Physics, vol.50, issue.4, pp.4-15, 2011.

J. Duchaine, F. Milési, R. Coquand, S. Barraud, S. Reboh et al., Plasma immersion ion implantation for sub-22 nm node devices: FD-SOI and Tri-Gate, AIP Conference Proceedings, vol.1496, issue.1, pp.71-74, 2012.
DOI : 10.1063/1.4766492

A. Crocherie, P. Boulenc, J. Vaillant, F. Hirigoyen, D. Hérault et al., From photons to electrons: a complete 3D simulation flow for CMOS image sensor, International Image Sensor Workshop, 2009.

Z. Essa, P. Boulenc, C. Tavernier, F. Hirigoyen, A. Crocherie et al., 3D TCAD simulation of advanced CMOS image sensors, 2011 International Conference on Simulation of Semiconductor Processes and Devices, pp.187-190, 2011.
DOI : 10.1109/SISPAD.2011.6035082

F. Blanchet, Vertical diode charge transfer simulation, STMicroelectronics internal report, 2012.

J. Michelot, F. Roy, J. Prima, C. Augier, F. Barbier et al., Back illuminated vertically pinned photodiode with in depth charge storage, International Image Sensor Workshop (IISW), 2011.

J. Prima, F. Roy, H. Leininger, C. Cowache, J. Vaillant et al., Improved colour separation for a backside illuminated image sensor with 1.4 µm pixel pitch, International Image Sensor Workshop (IISW), 2009.

J. H. Lambert, Photometria, sive, De mensura et gradibus luminis, colorum et umbrae, V. E. Klett, 1760.

G. Fisicaro, K. Huet, R. Negru, M. Hackenberg, P. Pichler et al., -Si, Physical Review Letters, vol.110, issue.11, p.117801, 2013.
DOI : 10.1103/PhysRevLett.110.117801

URL : https://hal.archives-ouvertes.fr/hal-00663884