D. Atienza, F. Angiolini, S. Murali, A. Pullini, G. D. Benini et al., Network-on-Chip design and synthesis outlook, Integration, the VLSI Journal, vol.41, issue.3, pp.340-359, 2008.
DOI : 10.1016/j.vlsi.2007.12.002

N. Bagherzadeh and M. Matsuura, Performance impact of task-to-task communication protocol in network-on-chip, Fifth International Conference on Information Technology: New Generations (ITNG ), pp.1101-1106, 2008.

T. Bjerregaard and S. Mahadevan, A survey of research and practices of networkon-chip, ACM Computing Surveys (CSUR), vol.38, p.151, 2006.

T. Bjerregaard and S. Mahadevan, A survey of research and practices of networkon-chip, ACM Comput. Surv, vol.38, issue.1, 2006.

R. V. Boppana and S. Chalasani, Fault-tolerant wormhole routing algorithms for mesh networks, IEEE Transactions on Computers, vol.44, issue.7, pp.848-864, 1995.
DOI : 10.1109/12.392844

F. Chaix, D. Avresky, N. Zergainoh, and M. Nicolaidis, Fault-Tolerant Deadlock-Free Adaptive Routing for Any Set of Link and Node Failures in Multi-cores Systems, 2010 Ninth IEEE International Symposium on Network Computing and Applications, pp.52-59, 0108.
DOI : 10.1109/NCA.2010.14

URL : https://hal.archives-ouvertes.fr/hal-00544568

F. Chaix, D. Avresky, N. Zergainoh, and M. Nicolaidis, A fault-tolerant deadlock-free adaptive routing for on chip interconnects, 2011 Design, Automation & Test in Europe, pp.1-4, 2011.
DOI : 10.1109/DATE.2011.5763303

URL : https://hal.archives-ouvertes.fr/hal-00671500

G. M. Chiu, The odd-even turn model for adaptive routing, IEEE Transactions on Parallel and Distributed Systems, vol.11, issue.7, pp.729-738, 2000.
DOI : 10.1109/71.877831

W. J. Dally and C. L. Seitz, Deadlock-Free Message Routing in Multiprocessor Interconnection Networks, IEEE Transactions on Computers, vol.36, issue.5, pp.36547-553, 1987.
DOI : 10.1109/TC.1987.1676939

W. J. Dally and B. Towles, Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001.
DOI : 10.1109/DAC.2001.935594

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.5322

M. Daneshtalab and M. Ebrahimi, A systematic reordering mechanism for on-chip networks using ecient congestion-aware method, Journal of Systems Architecture, 2012.

F. Dubois, A. Sheibanyrad, F. , and M. Bahmani, Elevator-rst: A deadlock-free distributed routing algorithm for vertically partially connected 3d- nocs. Computers, IEEE Transactions on, vol.62, issue.3, p.609615, 2013.
DOI : 10.1109/tc.2011.239

M. Elhajji, B. Attia, A. Zitouni, R. Tourki, S. Meftali et al., FeRoNoC: Flexible and extensible Router implementation for diagonal mesh topology, Proceedings of the 2011 Conference on Design & Architectures for Signal & Image Processing (DASIP), 2011.
DOI : 10.1109/DASIP.2011.6136890

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.654.5954

F. Fazzino, M. Palesi, and D. Patti, Noxim: Network-on-chip simulator

B. S. Feero and P. P. Pande, Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation, IEEE Transactions on Computers, vol.58, issue.1, pp.32-45, 2009.
DOI : 10.1109/TC.2008.142

D. Fick, A. Deorio, G. Chen, V. Bertacco, D. Sylvester et al., A highly resilient routing algorithm for fault-tolerant NoCs, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.21-26, 2009.
DOI : 10.1109/DATE.2009.5090627

S. Foroutan, Y. Thonnart, R. Hersemeule, and A. Jerraya, An analytical method for evaluating Network-on-Chip performance, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.1629-1632, 2010.
DOI : 10.1109/DATE.2010.5457072

F. Gebali, H. Elmiligi, and M. W. El-kharashi, Networks-on- Chips: Theory and Practice, 2009.

P. Gehlot and S. S. Chouhan, Performance evaluation of Network on Chip architectures, 2009 International Conference on Emerging Trends in Electronic and Photonic Devices & Systems, pp.124-127, 2009.
DOI : 10.1109/ELECTRO.2009.5441156

C. J. Glass and L. M. Ni, The turn model for adaptive routing, The 19th Annual International Symposium on Computer Architecture, pp.278-287, 1992.
DOI : 10.1109/isca.1992.753324

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.488.8913

F. Guo, L. Solihin, R. Zhao, and . Iyer, A Framework for Providing Quality of Service in Chip Multi-Processors, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), pp.343-355, 2007.
DOI : 10.1109/MICRO.2007.17

H. Hossain, M. Ahmed, A. Al-nayeem, T. Z. Islam, and M. Akbar, Gpnocsim - A General Purpose Simulator for Network-On-Chip, 2007 International Conference on Information and Communication Technology, pp.254-257, 2007.
DOI : 10.1109/ICICT.2007.375388

J. Hu and R. Marculescu, Dyad -smart routing for networks-on-chip, Design Automation Conference, pp.260-263, 2004.

S. Jamuna and V. K. , Implementation of bistcontroller for fault detection in CLB of FPGA, 2012 International Conference on Devices, Circuits and Systems (ICDCS), pp.99-104, 2012.
DOI : 10.1109/ICDCSyst.2012.6188682

A. B. Kahng, B. Li, L. Peh, and K. Samadi, ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.423-428, 2009.
DOI : 10.1109/DATE.2009.5090700

M. A. Khan and A. Q. Ansari, Quadrant-based XYZ dimension order routing algorithm for 3-D Asymmetric Torus Routing Chip (ATRC), 2011 International Conference on Emerging Trends in Networks and Computer Communications (ETNCC), pp.121-124, 2011.
DOI : 10.1109/ETNCC.2011.5958499

H. S. Kia and C. Ababei, A new fault-tolerant and congestion-aware adaptive routing algorithm for regular Networks-on-Chip, 2011 IEEE Congress of Evolutionary Computation (CEC), pp.2465-2472, 2011.
DOI : 10.1109/CEC.2011.5949923

R. Koch, T. Pionteck, C. Albrecht, and E. Maehle, An adaptive system-on-chip for network applications, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, p.8, 2006.
DOI : 10.1109/IPDPS.2006.1639445

T. Kogel, R. Leupers, and H. Meyr, Integrated system-level modeling of network-on-chip enabled multi-processor platforms. Kluwer, 2006.

T. Kranich and M. Berekovic, Noc switch with credit based guaranteed service support qualied for gals systems, Digital System Design (DSD), p.5359, 2010.
DOI : 10.1109/dsd.2010.30

S. Kumar, A. Jantsch, J. Soininen, M. Forsell, M. Millberg et al., A network on chip architecture and design methodology, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002, pp.105-112, 2002.
DOI : 10.1109/ISVLSI.2002.1016885

M. Li, Q. A. Zeng, and W. B. Jone, Dyxy -a proximity congestion-aware deadlockfree dynamic routing method for network on chip, Design Automation Conference, pp.43-852, 2006.

Y. Lu, J. Mccanny, and S. Sezer, The Impact of Global Routing on the Performance of NoCs in FPGAs, 2011 International Conference on Reconfigurable Computing and FPGAs, p.369374, 2011.
DOI : 10.1109/ReConFig.2011.87

Z. Lu, R. Thid, M. Millberg, and A. Jantsch, Nnse: Nostrum network-on-chip simulation environment, Proc. of SSoCC, 2005.

S. Peter, M. Magnusson, J. Christensson, D. Eskilson, G. Forsgren et al., Simics: A full system simulation platform, Computer, vol.35, issue.2 111, p.5058, 2002.

T. Mak, K. P. Lam, P. Cheung, and W. Luk, Adaptive routing in network-onchips using a dynamic programming network, IEEE Transactions on Industrial Electronics, issue.991, 2010.

. Wood, Multifacet's general execution-driven multiprocessor simulator (gems) toolset. SIGARCH Comput, Archit. News, vol.33, issue.4, p.9299, 2005.

H. Matsutani, M. Koibuchi, and H. Amano, Tightly-Coupled Multi-Layer Topologies for 3-D NoCs, 2007 International Conference on Parallel Processing (ICPP 2007), p.75, 2007.
DOI : 10.1109/ICPP.2007.79

F. Moraes, N. Calazans, A. Mello, L. Moller, and L. Ost, HERMES: an infrastructure for low area overhead packet-switching networks on chip, Integration, the VLSI Journal, vol.38, issue.1, p.6993, 2004.
DOI : 10.1016/j.vlsi.2004.03.003

A. Narasimhan, O. Kumaravelu, and R. Sridhar, An investigation of the impact of network parameters on performance of network-on-chips, 48th Midwest Symposium on Circuits and Systems, 2005., pp.1617-1620, 2005.
DOI : 10.1109/MWSCAS.2005.1594426

V. Ngo and H. Choi, Analyzing the Performance of Mesh and Fat-Tree Topologies for Network on Chip Design, Computeur Science, vol.3824, p.300310, 2005.
DOI : 10.1007/11596356_32

Y. Umit, P. Ogras, R. Bogdan, and . Marculescu, An analytical approach for network-on-chip performance analysis, Trans. Comp.-Aided Des. Integ. Cir. Sys, vol.29, 2010.

M. Palesi, D. Patti, and F. Fazzino, Noxim -the NoC Simulator -User Guide, 2010.

H. Park and D. P. , Generic methodologies for deadlock-free routing The 10th International, Parallel Processing Symposium Proceedings of IPPS '96, p.638643, 1996.

S. Pasricha and Y. Zou, A low overhead fault tolerant routing scheme for 3D Networks-on-Chip, 2011 12th International Symposium on Quality Electronic Design, 2011.
DOI : 10.1109/ISQED.2011.5770726

M. Pastrnak, P. H. De-with, and J. Van-meerbergen, Realization of QoS management using negotiation algorithms for multiprocessor NoC, 2006 IEEE International Symposium on Circuits and Systems, pp.4-112, 2006.
DOI : 10.1109/ISCAS.2006.1692984

V. F. Pavlidis and E. G. Friedman, 3-d topologies for networks-on-chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1081-1090, 2007.
DOI : 10.1109/socc.2006.283899

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.79.244

S. G. Pestana, E. Rijpkema, A. Radulescu, K. Goossens, and O. P. Gangwal, Costperformance trade-os in networks on chip: a simulation-based approach, Design , Automation and Test in Europe Conference and Exhibition Proceedings, pp.764-769, 2004.

V. Puthal, G. M. Singh, and V. Laxmi, C-Routing: An adaptive hierarchical NoC routing methodology, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011.
DOI : 10.1109/VLSISoC.2011.6081616

R. S. Ramanujam and B. Lin, Near-optimal oblivious routing on three-dimensional mesh networks, 2008 IEEE International Conference on Computer Design, pp.134-141, 2008.
DOI : 10.1109/ICCD.2008.4751852

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.183.9793

J. Riihimaki, E. Salminen, K. Kuusilinna, and T. Hamalainen, Parameter optimization tool for enhancing on-chip network performance, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353), pp.61-64, 2002.
DOI : 10.1109/ISCAS.2002.1010388

A. V. Rose, R. Seshasayanan, and G. Oviya, FPGA implementation of low latency routing algorithm for 3D Network on Chip, 2011 International Conference on Recent Trends in Information Technology (ICRTIT), pp.385-388, 2011.
DOI : 10.1109/ICRTIT.2011.5972420

C. Rusu, L. Anghel, and D. Avresky, RILM: Reconfigurable inter-layer routing mechanism for 3D multi-layer networks-on-chip, 2010 IEEE 16th International On-Line Testing Symposium, p.121126, 2010.
DOI : 10.1109/IOLTS.2010.5560222

URL : https://hal.archives-ouvertes.fr/hal-00505287

C. Rusu, L. Anghel, and D. Avresky, Adaptive inter-layer message routing in 3d networks-on-chip. Microprocessors and Microsystems, pp.613-631, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00650162

A. Sheibanyrad, F. Petrot, and A. Jantsch, 3D Integration for NoC-based SoC Architectures, 2010.
DOI : 10.1007/978-1-4419-7618-5

URL : https://hal.archives-ouvertes.fr/hal-00564660

A. Sheibanyrad, F. Ptrot, and A. Jantsch, 3D Integration for NoC-based SoC Architectures, Bibliography, vol.113, 2010.
DOI : 10.1007/978-1-4419-7618-5

URL : https://hal.archives-ouvertes.fr/hal-00564660

R. , S. Ramanujam, and B. Lin, A layer-multiplexed 3d on-chip network architecture . Embedded Systems Letters, IEEE, vol.1, issue.2, pp.50-55, 2009.

A. W. Topol, D. C. La-tulipe, L. Shi, D. J. Frank, K. Bernstein et al., Three-dimensional integrated circuits, IBM Journal of Research and Development, vol.50, issue.4.5, pp.491-506, 2006.
DOI : 10.1147/rd.504.0491

B. Towles and W. J. Dally, Worst-case trac for oblivious routing functions, Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures, SPAA '02, p.18, 2002.

M. Valinataj and S. Mohammadi, A fault-aware, recongurable and adaptive routing algorithm for noc applications, VLSI System on Chip Conference, pp.2010-2028, 2010.

F. Verbeek and J. Schmaltz, On necessary and sucient conditions for deadlock-free routing in wormhole networks, IEEE Transactions on Parallel and Distributed Systems, vol.22, issue.12, p.20222032, 2011.

J. C. Villanueva, J. Flich, J. Duato, H. Eberle, N. Gura et al., A performance evaluation of 2d-mesh, ring, and crossbar interconnects for chip multiprocessors, 2nd International Workshop on Network on Chip Architectures, pp.51-56, 2009.

. Wang, System-on-Chip Test Architectures: Nanometer Design for Testability, 2008.

Y. Q. Wang, M. X. Zhang, and X. C. , A fault tolerant adaptive routing algorithm in 2d mesh network on chip, IEEE 3rd International Conference on Communication Software and Networks (ICCSN), pp.140-144, 2011.

J. Wu, A simple fault-tolerant adaptive and minimal routing approach in 3-D meshes, Seventh International Conference on Parallel and Distributed Systems, pp.256-263, 2000.
DOI : 10.1007/BF02946645

J. Wu, A fault-tolerant and deadlock-free routing protocol in 2d meshes based on odd-even turn model, IEEE Transactions on Computers, vol.52, issue.9, pp.1154-1169, 2003.

J. Wu, A simple fault-tolerant adaptive and minimal routing approach in 3-D meshes, Journal of Computer Science and Technology, vol.5, issue.3, p.113, 2003.
DOI : 10.1007/BF02946645

. Xilinx, Synthesis and Simulation Design Guide -ISE 12, 2008.

Z. Zhang, A. Greiner, and S. Taktak, A recongurable routing algorithm for a fault-tolerant 2d-mesh network-on-chip, Proceedings of the 45th annual Design Automation Conference, DAC '08, p.441446, 2008.

A. Zia, S. Kannan, H. J. Chao, and G. S. Rose, 3D NOC for many-core processors, High Performance Computing and Simulation (HPCS), 2012 International Conference on, pp.1380-1390, 2011.
DOI : 10.1016/j.mejo.2011.09.013

I. Pratomo and S. Pillement, Gradient -An Adaptive Fault-tolerant Routing Algorithm for 2D Mesh Network-on-Chips, Design & Architectures for Signal & Image Processing (DASIP), International Conference, 2012.
URL : https://hal.archives-ouvertes.fr/hal-00741789

]. R. Références1, T. Koch, C. Pionteck, E. Albrecht, and . Maehle, An adaptive system-on-chip for network applications, Parallel and Distributed Processing Symposium, p.8, 2006.

W. Dally and C. Seitz, Deadlock-Free Message Routing in Multiprocessor Interconnection Networks, IEEE Transactions on Computers, vol.36, issue.5, pp.547-553, 1987.
DOI : 10.1109/TC.1987.1676939

V. Pavlidis and E. Friedman, 3-d topologies for networks-on-chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1081-1090, 2007.
DOI : 10.1109/socc.2006.283899

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.79.244

A. W. Topol, D. C. Tulipe, L. Shi, D. J. Frank, K. Bernstein et al., Three-dimensional integrated circuits, IBM Journal of Research and Development, vol.50, issue.4.5, pp.491-506, 2006.
DOI : 10.1147/rd.504.0491

W. Dally and B. Towles, Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001.
DOI : 10.1109/DAC.2001.935594

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.5322

D. Fick, A. Deorio, G. Chen, V. Bertacco, D. Sylvester et al., A highly resilient routing algorithm for fault-tolerant NoCs, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.21-26, 2009.
DOI : 10.1109/DATE.2009.5090627

F. Fazzino, M. Palesi, and D. Patti, Noxim : Network-on-chip simulator

F. Chaix, D. Avresky, N. Zergainoh, and M. Nicolaidis, A fault-tolerant deadlockfree adaptive routing for on chip interconnects, Design, Automation Test in Europe Conference Exhibition (DATE), pp.1-4, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00671500

F. Dubois, A. Sheibanyrad, F. , and M. Bahmani, Elevator-First: A Deadlock-Free Distributed Routing Algorithm for Vertically Partially Connected 3D-NoCs, IEEE Transactions on Computers, vol.62, issue.3, pp.609-615, 2013.
DOI : 10.1109/TC.2011.239

URL : https://hal.archives-ouvertes.fr/hal-01138285

F. Moraes, N. Calazans, A. Mello, L. Moller, and L. Ost, HERMES: an infrastructure for low area overhead packet-switching networks on chip, Integration, the VLSI Journal, vol.38, issue.1, pp.69-93, 2004.
DOI : 10.1016/j.vlsi.2004.03.003

. Xilinx, Synthesis and Simulation Design Guide -ISE 12, 2008.