E. Amat, T. Kauerauf, R. Degraeve, A. De-keersgieter, R. Rodriguez et al., Channel Hot-Carrier Degradation in Short-Channel Transistors With High- <formula formulatype="inline"><tex Notation="TeX">$k$</tex></formula>/Metal Gate Stacks, IEEE Transactions on Device and Materials Reliability, vol.9, issue.3, pp.425-430, 2009.
DOI : 10.1109/TDMR.2009.2024129

M. Abramovici, E. Charles, and . Stroud, BIST-based delay-fault testing in FPGAs, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002), pp.549-558, 2003.
DOI : 10.1109/OLT.2002.1030195

V. [. Alon, M. A. Stojanovic, and . Horowitz, Circuits and techniques for high-resolution measurement of on-chip power supply noise, IEEE Journal of Solid-State Circuits, vol.40, issue.4, pp.820-828, 2005.
DOI : 10.1109/JSSC.2004.842853

]. A. Bak00 and . Bakker, High-Accuracy CMOS Smart Temperature Sensors, 2000.

R. Busseuil, L. Barthe, L. Gabriel-marchesan-almeida, F. Ost, G. Bruguier et al., Open-Scale: A Scalable, Open-Source NOC-based MPSoC for Design Space Exploration, 2011 International Conference on Reconfigurable Computing and FPGAs, 2011.
DOI : 10.1109/ReConFig.2011.66

URL : https://hal.archives-ouvertes.fr/hal-01139181

[. Brown, C. Bazeghi, R. Matthew, J. Guthaus, and . Renau, Measuring and Modeling Variability using Low-Cost FPGAs, FPGA Symposium, pp.26-28, 2009.

[. Bruguier, P. Benoit, and L. Torres, Investigation of Digital Sensors for Variability Characterization on FPGAs, Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip 2010 ? ReCoSoC'10, pp.95-100
URL : https://hal.archives-ouvertes.fr/lirmm-00548801

E. Boemo and S. López-buedo, A Method for Temperature Measurement on Reconfigurable Systems, Design of Circuit and Integrated Systems Conference, pp.727-730, 1997.

E. Boemo and S. López-buedo, Thermal monitoring on FPGAs using ring-oscillators, FPL97, pp.69-78, 1997.
DOI : 10.1007/3-540-63465-7_212

E. Boemo and S. López-buedo, Thermal verification on FPGAs, 2005 NORCHIP, pp.48-53, 2005.
DOI : 10.1109/NORCHP.2005.1596986

. Bls-+-11-]-v, C. Bexiga, J. Leong, I. C. Semiao, J. P. Teixeira et al., Performance Failure Prediction Using Built-In Delay Sensors in FPGAs, 21st International Conference on Field Programmable Logic and Applications, pp.301-304, 2011.

[. Borkar, Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation, IEEE Micro, vol.25, issue.6, pp.10-16, 2005.
DOI : 10.1109/MM.2005.110

C. L. Barthe, P. Vittorio, L. Benoit, and . Torres, The SecretBlaze: A Configurable and Cost-Effective Open-Source Soft-Core Processor, 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum, pp.2011-92
DOI : 10.1109/IPDPS.2011.154

[. Chen, J. Cong, and P. Pan, FPGA Design Automation : A Survey. Foundations and Trends® in Electronic Design Automation, 2006.

A. [. Clarke, C. A. Ray, and . Hogarth, Electromigration???a tutorial introduction???, International Journal of Electronics, vol.15, issue.3, pp.333-338, 1990.
DOI : 10.1080/00207219008920318

P. Chen, M. Shie, . Zhi-yuan-zheng, . Zi-fan, C. Zheng et al., A Fully Digital Time-Domain Smart Temperature Sensor Realized With 140 FPGA Logic Elements, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.54, issue.12, pp.2661-2668, 2007.
DOI : 10.1109/TCSI.2007.906073

B. Datta and W. P. Burleson, Low power on-chip thermal sensors based on wires, 2007 IFIP International Conference on Very Large Scale Integration, pp.258-263, 2007.
DOI : 10.1109/VLSISOC.2007.4402508

[. Dhar and B. Kranzen, Closed-loop adaptive voltage scaling controller for standard-cell ASICs, Proceedings of the 2002 international symposium on Low power electronics and design , ISLPED '02, pp.17-43, 2002.
DOI : 10.1145/566408.566437

A. Drake, Sensors for Critical Path Monitoring, Adaptive Techniques for Dynamic Processor Optimization, Series on Integrated Circuits and Systems, chapter 7, pp.145-174, 2008.
DOI : 10.1007/978-0-387-76472-6_7

[. Dhia, M. Ramdani, and E. Sicard, Electromagnetic Compatibility of Integrated Circuits : Techniques for low emission and susceptibility, 2006.

A. Drake, R. Senger, H. Deogun, G. Carpenter, S. Ghiasi et al., A Distributed Critical-Path Timing Monitor for a 65nm High-Performance Microprocessor, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp.398-399, 2007.
DOI : 10.1109/ISSCC.2007.373462

D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin et al., Razor: circuit-level correction of timing errors for low-power operation, IEEE Micro, vol.24, issue.6, pp.10-20, 2004.
DOI : 10.1109/MM.2004.85

M. [. Elgebaly and . Sachdev, Variation-Aware Adaptive Voltage Scaling System, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.560-571, 2007.
DOI : 10.1109/TVLSI.2007.896909

J. L. John, E. Franco, L. Boemo, E. Parrilla, and . Castillo, Ring oscillators as thermal sensors in fpgas : experiments in low voltage, Programmable Logic Conference (SPL), pp.133-137, 2010.

]. R. Gou92 and . Goulette, The Measurement Of Radiated Emissions From Integrated Circuits, International Symposium on Electromagnetic Compatibility, pp.340-345, 1992.

[. Happe, A. Agne, and C. Plessl, Measuring and Predicting Temperature Distributions on FPGAs at Run-Time, 2011 International Conference on Reconfigurable Computing and FPGAs, 2011.
DOI : 10.1109/ReConFig.2011.59

]. O. Her10 and . Richard-herveille, Wishbone System-on-Chip (SoC) Interconnection Architecture for Portable IP Cores, 2010.

[. Seetharam, A. Holtz, S. Narasimhan, and S. Bhunia, On-die CMOS voltage droop detection and dynamiccompensation, Proceedings of the 18th ACM Great Lakes symposium on VLSI -GLSVLSI '08, 2008.

S. C. Hu, F. Tam, P. Hsu, T. Ko, K. W. Chan et al., Hot-Electron-Induced MOSFET Degradation -Model, Monitor, and Improvement, IEEE Journal of Solid-State Circuits, vol.20, issue.1, pp.295-305, 1985.

J. Daemen and V. Rijmen, The Design of Rijndael : AES -The Advanced Encryption Standard, 2002.
DOI : 10.1007/978-3-662-04722-4

[. Klass, A. Jain, G. Hess, and B. Park, An All-Digital On-Chip Process-Control Monitor for Process-Variability Measurements, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.408-623, 2008.
DOI : 10.1109/ISSCC.2008.4523230/mm1

C. H. Kim, K. Roy, S. Hsu, R. K. Krishnamurthy, and S. Borkar, An on-die CMOS leakage current sensor for measuring process variation in sub-90nm generations, 2005 International Conference on Integrated Circuit Design and Technology, 2005. ICICDT 2005, pp.221-222, 2005.

K. Kuroda, S. Suzuki, T. Mita, F. Fujita, F. Yamane et al., Variable supply-voltage scheme for low-power high-speed CMOS digital design, IEEE Journal of Solid-State Circuits, vol.33, issue.3, pp.454-462, 1998.
DOI : 10.1109/4.661211

[. Kuon, R. Tessier, and J. Rose, FPGA Architecture : Survey and Challenges. Foundations and Trends® in Electronic Design Automation, pp.135-253, 2007.

[. López-buedo, Técnicas de Verificación Térmica para Arquitecturas Dinámicamente Reconfigurables, 2003.

[. Lopez-buedo and E. Boemo, Making visible the thermal behaviour of embedded microprocessors on FPGAs, Proceeding of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays , FPGA '04, pp.79-109, 2004.
DOI : 10.1145/968280.968293

J. [. Lopez-buedo, E. I. Garrido, . R. Boemolls05-]-j, E. Lloyd, T. M. Liniger et al., Dynamically inserting, operating, and eliminating thermal sensors of FPGA-based systems, IEEE Transactions on Components and Packaging Technologies, vol.25, issue.4, pp.561-566, 2002.
DOI : 10.1109/TCAPT.2002.808011

[. Li, J. Qin, B. Joseph, S. Bernstein, and . Member, Compact Modeling of MOSFET Wearout Mechanisms for Circuit-Reliability Simulation, IEEE Transactions on Device and Materials Reliability, vol.8, issue.1, pp.98-121, 2008.
DOI : 10.1109/TDMR.2008.915629

S. [. Middelhoek and . Audet, Silicon sensors, Measurement Science and Technology, vol.6, issue.12, 1989.
DOI : 10.1088/0957-0233/6/12/001

A. Calazans, L. Mello, L. Moller, and . Ost, Hermes : an infrastructure for low area overhead packet-switching networks on chip, he VLSI Journal, vol.38, issue.1, pp.69-93, 2004.

[. Majzoobi, E. Dyer, A. Elnably, and F. Koushanfar, Rapid FPGA delay characterization using clock synthesis and sparse sampling, 2010 IEEE International Test Conference, pp.457-466, 2010.
DOI : 10.1109/TEST.2010.5699248

L. [. Maiti, P. Mcdougall, and . Schaumont, The Impact of Aging on an FPGA-Based Physical Unclonable Function, 2011 21st International Conference on Field Programmable Logic and Applications, pp.151-156, 2011.
DOI : 10.1109/FPL.2011.35

C. Metra, M. Omaña, A. Mak, S. Rahman, and . Tam, Novel On-Chip Clock Jitter Measurement Scheme for High Performance Microprocessors, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems, pp.465-473, 2008.
DOI : 10.1109/DFT.2008.51

P. Meterelliyoz, F. Song, J. P. Stellari, K. Kulkarni, and . Roy, A high sensitivity process variation sensor utilizing subthreshold operation, IEEE Custom Integrated Circuits Conference, pp.125-128, 2008.

G. [. Muhtaroglu, T. Taylor, and . Rahal-arabi, On-Die Droop Detector for Analog Sensing of Power Supply Noise, IEEE Journal of Solid-State Circuits, vol.39, issue.4, pp.651-660, 2004.
DOI : 10.1109/JSSC.2004.825120

]. M. Nag07 and . Nagata, On-Chip Measurements Complementary to Design Flow for Integrity in SoCs, Design Automation Conference, DAC '07, pp.400-403, 2007.

R. Sani and . Nassif, Design for Variability in DSM Technologies, ISQED '00 Proceedings of the 1st International Symposium on Quality of Electronic Design, p.451, 2000.

T. [. Nagata, K. Okumoto, and . Taki, A built-in technique for probing power supply and ground noise distribution within large-scale digital integrated circuits, IEEE Journal of Solid-State Circuits, vol.40, issue.4, pp.813-819, 2005.
DOI : 10.1109/JSSC.2005.845559

F. [. Nicolaidis, B. Vargas, and . Courtois, Design of built-in current sensors for concurrent checking in radiation environments, IEEE Transactions on Nuclear Science, vol.40, issue.6, pp.1584-1590, 1993.
DOI : 10.1109/23.273553

URL : https://hal.archives-ouvertes.fr/hal-00013984

A. P. Micheal, J. Pertijs, and . Huijsing, Precision temperature sensors in CMOS technology, 2005.

G. M. Quénot, N. Paris, and B. Zavidovique, A temperature and voltage measurement cell for VLSI circuits, Euro ASIC '91, pp.334-338, 1991.
DOI : 10.1109/EUASIC.1991.212842

[. Ruething, A. Agne, M. Happe, and C. Plessl, Exploration of ring oscillator design space for temperature measurements on FPGAs, 22nd International Conference on Field Programmable Logic and Applications (FPL), pp.7-10, 2012.
DOI : 10.1109/FPL.2012.6339370

S. Rhoads, Plasma -most mips (tm), 2009.

V. Reddy, A. T. Krishnan, A. Marshall, J. Rodriguez, S. Natarajan et al., Impact of negative bias temperature instability on digital circuit reliability. Microelectronics Reliability Within-die delay variability in 90nm FPGAs and beyond, IEEE International Conference on Field Programmable Technology, pp.31-38, 2005.

P. Sedcole, Y. K. Peter, and . Cheung, Parametric yield in FPGAs due to within-die delay variations, Proceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays , FPGA '07, 2007.
DOI : 10.1145/1216919.1216949

P. Sedcole, Y. K. Peter, and . Cheung, Parametric Yield Modeling and Simulations of FPGA Circuits Considering Within-Die Delay Variations, ACM Transactions on Reconfigurable Technology and Systems, vol.1, issue.2, 2008.
DOI : 10.1145/1371579.1371582

[. Sedcole, Y. Peter, and . Cheung, Within-die delay variability in 90nm FPGAs and beyond, 2006 IEEE International Conference on Field Programmable Technology, pp.1-22, 2009.
DOI : 10.1109/FPT.2006.270300

[. Szckely, Z. Kohki-cs, M. Mbrta, and . Rencz, CMOS sensors for on-line thermal monitoring of VLSI circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.270-273, 1997.
DOI : 10.1109/92.609869

]. K. She03 and . Shepard, On-chip oscilloscopes for noninvasive time-domain measurement of waveforms in digital integrated circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.336-344, 2003.

B. Siegal, An Introduction to Diode Thermal Measurements, 2009.

A. Moinuddin, P. H. Sayed, and . Jones, Characterizing Non-ideal Impacts of Reconfigurable Hardware Workloads on Ring Oscillator-Based Thermometers, 2011 International Conference on Reconfigurable Computing and FPGAs Cité pages 32 and 35. [spa10] Spartan-3 Starter Kit, pp.92-98, 2010.

A. Edward, J. S. Stott, P. Wong, . Sedcole, Y. Peter et al., Degradation in FPGAs : Measurement and Modelling, Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays, pp.229-238, 2010.

[. Tarawneh, T. Mak, and A. Yakovlev, Intra-chip physical parameter sensor for FPGAS using flip-flop metastability, 22nd International Conference on Field Programmable Logic and Applications (FPL), pp.26-28, 2012.
DOI : 10.1109/FPL.2012.6339207

. S. Utb-+-06-]-o, J. W. Unsal, K. Tschanz, V. Bowman, X. De et al., Impact of Parameter Variations on Circuits and Microarchitecture, IEEE Micro, vol.26, issue.10, pp.30-39, 2006.

D. [. Walker and . Colby, A practical built-in current sensor for I/sub DDQ/ testing, Proceedings International Test Conference, pp.405-414, 2001.

K. [. Weste and . Eshraghian, Principles of CMOS VLSI Design, 1993.

[. Wójciak and A. Napieralski, An analogue temperature sensor integrated in the CMOS technology, 1st THERMINIC Workshop, 1995.

W. Wang, V. Reddy, V. Balakrishnan, and S. Krishnan, Statistical prediction of circuit aging under process variations, IEEE Custom Integrated Circuits Conference, pp.13-16, 2008.

S. J. Justin, P. Wong, P. Y. Sedcole, and . Cheung, Self-characterization of Combinatorial Circuit Delays in FPGAs, 2007 International Conference on Field-Programmable Technology, pp.17-23, 2007.

C. Wah, W. , and D. Verma, A sensor placement algorithm for redundant covering based on Riesz energy minimization, IEEE International Symposium on Circuits and Systems, ISCAS, pp.2074-2077, 2008.

. Xilinx, MicroBlaze Processor Reference Guide v11, 2010.

[. Xia, H. Zheng, J. Li, and A. Ginawi, Self-Refereed On-Chip Jitter Measurement Circuit Using Vernier Oscillators, IEEE Computer Society Annual Symposium on VLSI : New Frontiers in VLSI Design (ISVLSI'05), pp.218-223, 2005.

Q. [. Yeo, C. Lu, and . Yu, MOSFET gate oxide reliability : Anode hole injection model and its applications, International Journal of High Speed Electronics and Systems, vol.3, issue.11, pp.849-886, 2001.

H. Yu, Q. Xu, and P. Leong, Fine-grained characterization of process variation in FPGAs, 2010 International Conference on Field-Programmable Technology, pp.138-145, 2010.
DOI : 10.1109/FPT.2010.5681770

M. Kenneth, . Zick, P. John, and . Hayes, On-Line Sensing for Healthier FPGA Systems, 2010 International Symposium on Field-Programmable Gate Arrays, pp.239-248, 2010.

M. Kenneth, J. P. Zick, and . Hayes, Low-cost sensing with ring oscillator arrays for healthier reconfigurable systems, ACM Transactions on Reconfigurable Technology and Systems, vol.5, issue.1, pp.1-26, 2012.

R. Gabriel-marchesan-almeida, L. Busseuil, F. Ost, G. Bruguier, P. Sassatelli et al., PI and PID Regulation Approaches for Performance-Constrained Adaptive Multiprocessor System-on- Chip, Embedded Systems Letters, issue.3 3, pp.77-80, 2011.

. Conférences-internationales-1, J. Florent-bruguier, F. Tarrillo, M. Lima-kastensmidt, P. Bourrée et al., Using Electromagnetic Emanations for Variability Characterization in Flash-Based FPGAs, IEEE Computer Society Annual Symposium on VLSI : ISVLSI 2013, pp.1-6

R. Busseuil, L. Barthe, L. Gabriel-marchesan-almeida, F. Ost, G. Bruguier et al., Open-Scale: A Scalable, Open-Source NOC-based MPSoC for Design Space Exploration, 2011 International Conference on Reconfigurable Computing and FPGAs, pp.357-362, 2011.
DOI : 10.1109/ReConFig.2011.66

URL : https://hal.archives-ouvertes.fr/hal-01139181

F. Bruguier, P. Benoit, P. Maurine, and L. Torres, A New Process Characterization Method for FPGAs Based on Electromagnetic Analysis, 2011 21st International Conference on Field Programmable Logic and Applications, pp.20-23, 2011.
DOI : 10.1109/FPL.2011.15

URL : https://hal.archives-ouvertes.fr/lirmm-00616954

F. Bruguier, L. Barthe, P. Benoit, P. Maurine, and L. Torres, SECNUM : an Open Characterizing Platform for Integrated Circuits, Workshops européens 1, pp.88-91, 2012.
URL : https://hal.archives-ouvertes.fr/hal-01139176

F. Bruguier, P. Benoit, P. Maurine, and L. Torres, A novel Process Characterisation Method for FPGAs based on Electromagnetic Analysis, 2nd European Workshop on CMOS Variability : VARI 2011, pp.1-6

F. Bruguier, P. Benoit, and L. Torres, Investigation of Digital Sensors for Variability Characterization on FPGAs, ReCoSoC'10 : 5th International Workshop on Reconfigurable Communication-Centric Systems on Chip, pp.95-100
URL : https://hal.archives-ouvertes.fr/lirmm-00548801

F. Bruguier, L. Barthe, P. Benoit, P. Maurine, and L. Torres, SECNUM : an Open Characterizing Platform for Integrated Circuits, Conférences nationales 1, pp.2012-2013
URL : https://hal.archives-ouvertes.fr/hal-01139176

F. Bruguier, P. Benoit, P. Maurine, and L. Torres, EMA : a Key to Characterizing Process in FPGAs, Journées Electroniques couplées Club EEA ? GDR SoC-SiP ? GDR SEEDS ? CNFM : Technologies émergentes et Green SoC-SiP, pp.1-2, 2011.

F. Bruguier, P. Benoit, and L. Torres, Capteurs Numériques pour la Gestion de Variations sur Circuits Logiques Programmables, Journées Nationales du Réseau Doctoral en microélectronique : JNRDM, 2011.

F. Bruguier, P. Benoit, P. Maurine, and L. Torres, EMA : a Key to Characterizing Process in FPGAs, Colloque National du GDR SOC-SIP, 2011.

F. Bruguier, P. Benoit, and L. Torres, A Variability Compensation Flow for FPGAs, Colloque National du GDR SOC-SIP, pp.9-11, 2010.

). Architecture-d-'un-fpga-spartan3-xilinx-xilinx, 20 II.6 Structure d'un moniteur système incluant des capteurs de tension et de température (source : [Sys11]), p.22

.. Comparaison-de-cartographies-entre-plusieurs-cartes, 48 III.5 Configuration de départ pour réaliser une mesure, p.49

M. Sur-la, 54 III, 57 III.12Comparaison des FFT pour la puissance consommée et les émanations électromagnétiques, p.58

C. Du, F. Spartan-6, .. Du, and C. , 79 IV.10 Répartition des slices à l'intérieur, 81 IV.13 Cartographie des slices X associés aux slices L pour le FPGA Spartan-6 numéro 2 83 IV.14 Cartographie des slices X associés aux slices M pour le FPGA Spartan-6 numéro, p.84

.. Vue-d-'ensemble-de-l-'architecture-utilisée, 91 IV.22 Représentation d'une unité de calcul réseau de l'architecture utilisée, 96 IV.24 Représentation d'une unité de calcul réseau de l'architecture utilisée incluant l'interface avec les oscillateurs en anneau . . . . . . . . . . . . . . . . . . . . . . . 97

. Schéma-du-circuit and .. Placement-des-différents-blocs, 106 IV.29 Organisation de la séquence de vieillissement 1 107 IV.30 Cartographie de la carte montrant la dégradation de la carte au bout d'une semaine de vieillissement 108 IV.31 Cartographie de la carte montrant la dégradation de la carte au bout de deux semaines de vieillissement 109 IV.32 Cartographie de la carte montrant la dégradation de la carte lors de la deuxième semaine de vieillissement 109 IV.33 Cartographie de la carte montrant le regain de performance après une semaine sans activité, 110 IV.34 Cartographie de la carte montrant la dégradation totale de performances obtenue lors de l'expérience et après une semaine de régénération, p.111

. Schéma-du-circuit and .. Placement-des-différents-blocs, 112 IV.36 Cartographie de la carte montrant la dégradation de la carte au bout d'une semaines de vieillissement, p.114

.. Oscillateurs-en-anneau-proposés, 96 IV.8 Valeurs mesurées en sortie d'un des 28 capteurs 100 IV.9 Variations de température et de tension mesurée pour chaque tâche pour un des 28 capteurs, 101 IV.10 Variations de température et de tension mesurée pour chaque tâche pour un des 28 capteurs, p.104