C. E. Shannon, A Mathematical Theory of Communication, Bell System Technical Journal, vol.27, issue.4, pp.623-656, 1948.
DOI : 10.1002/j.1538-7305.1948.tb00917.x

C. Berrou, A. Glavieux, and P. Thitimajshima, Near Shannon limit errorcorrecting coding and decoding: turbo-codes, IEEE ICC '93, pp.1064-1070, 1993.
DOI : 10.1109/icc.1993.397441

R. Pyndiah, A. Glavieux, A. Picart, and S. Jacq, Near optimum decoding of product codes Communications: The Global Bridge, Global Telecommunications Conference, 1994. GLOBECOM '94, pp.339-343, 1994.

D. Mackay, Low Density Parity Check Codes, Transactions of the IRE Professional Group on Information Theory, vol.8, pp.21-28, 1962.

D. Mackay, Good error-correcting codes based on very sparse matrices Information Theory, IEEE Transactions on, vol.45, pp.399-431, 1999.

B. Bougard, Cross-layer energy management in broadband wireless transceivers, 2006.

J. Hagenauer, Soft-in soft-out the benefits of using soft values in all stages of digital receivers, Proceedings of the 3rd Int Workshop on Digital Signal Process. Techniques Applied to Space Comm., ESTEC, 1992.

G. Battail, Building long codes by combination of simple ones, thanks to weighted-output decoding, Proc. URSI ISSSE, pp.634-637, 1989.

J. Hagenauer, E. Offer, and L. Papke, Iterative decoding of binary block and convolutional codes, IEEE Transactions on Information Theory, vol.42, issue.2, 1996.
DOI : 10.1109/18.485714

P. Elias, Coding for noisy channels, IRE Convention Record, vol.4, pp.37-47, 1955.

J. Forney and G. , Convolutional codes I: Algebraic structure Information Theory, IEEE Transactions on, vol.16, pp.720-738, 1970.
DOI : 10.1109/tit.1970.1054541

J. Forney and G. D. , The viterbi algorithm, Proceedings of the IEEE, pp.268-278, 1973.
DOI : 10.1109/PROC.1973.9030

D. Divsalar and F. Pollara, Turbo codes for PCS applications ICC '95 Seattle, 'Gateway to Globalization, IEEE International Conference on, pp.54-59, 1995.

H. Ma and J. Wolf, On Tail Biting Convolutional Codes, IEEE Transactions on Communications, vol.34, issue.2, pp.104-111, 1986.
DOI : 10.1109/TCOM.1986.1096498

C. Berrou, C. Douillard, and M. Jézéquel, Multiple parallel concatenation of circular recursive convolutional (CRSC) code, pp.166-172, 1999.

X. Ma and A. Kavcic, Path partitions and forward-only trellis algorithms Information Theory, IEEE Transactions on, vol.49, pp.38-52, 2003.

A. J. Viterbi, Error bounds for convolutional codes and an asymptotically optimum decoding algorithm, IEEE Trans. Inform. Theory, issue.13, pp.260-269, 1967.

Y. Li, B. Vucetic, and Y. Sato, Optimum soft-output detection for channels with intersymbol interference, IEEE Transactions on Information Theory, vol.41, pp.704-713, 1995.

R. Ratnayake, A. Kavcic, and G. Wei, A High-Throughput Maximum a posteriori Probability Detector, 2007 IEEE Custom Integrated Circuits Conference, pp.1846-1858, 2008.
DOI : 10.1109/CICC.2007.4405772

L. R. Bahl, J. Cocke, F. Jelinek, and J. Raviv, Optimal decoding of linear codes for minimizing symbol error rate (Corresp.), IEEE Transactions on Information Theory, vol.20, issue.2, pp.284-287, 1974.
DOI : 10.1109/TIT.1974.1055186

L. Lee, Real-Time Minimal-Bit-Error Probability Decoding of Convolutional Codes, IEEE Transactions on Communications, vol.22, issue.2, pp.146-151, 1974.
DOI : 10.1109/TCOM.1974.1092169

J. Hayes, T. Cover, and J. Riera, Optimal Sequence Detection and Optimal Symbol-by-Symbol Detection: Similar Algorithms, IEEE Transactions on Communications, vol.30, issue.1, pp.152-157, 1982.
DOI : 10.1109/TCOM.1982.1095391

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.366.5026

B. Bai, X. Ma, and X. Wang, Novel algorithm for continuous decoding of turbo codes, IEE Proceedings - Communications, vol.146, issue.5, pp.271-274, 1999.
DOI : 10.1049/ip-com:19990689

I. Onyszchuk, Truncation length for Viterbi decoding, IEEE Transactions on Communications, vol.39, issue.7, pp.1023-1026, 1991.
DOI : 10.1109/26.87203

J. Hagenauer and P. Hoeher, A Viterbi algorithm with soft-decision outputs and its applications, IEEE Global Telecommunications Conference, 1989, and Exhibition. 'Communications Technology for the 1990s and Beyond, pp.1680-1686, 1989.
DOI : 10.1109/GLOCOM.1989.64230

G. Battail, Pondération des symboles décodés par l'algorithme de viterbi, Ann. Télécommun, pp.31-38, 1987.

C. Berrou, P. Adde, E. Angui, and S. Faudeil, A low complexity soft-output Viterbi decoder architecture, Proceedings of ICC '93, IEEE International Conference on Communications, pp.737-740, 1993.
DOI : 10.1109/ICC.1993.397371

D. Bera and J. Sen, SOVA based decoding of double-binary turbo convolutional code, " in Wireless Communication, Vehicular Technology, Information Theory and Aerospace Electronic Systems Technology, 1st International Conference on, pp.757-761, 2009.

V. Branka and Y. Jinhong, Turbo codes : principles and applications / Branka Vucetic, Jinhong Yuan. Kluwer Academic, 2000.

J. Tan and G. Stuber, Soft output Viterbi algorithm (SOVA) for non-binary turbo codes, Information Theory Proceedings. IEEE International Symposium on, p.483, 2000.

J. Tan and G. Stuber, A MAP equivalent SOVA for non-binary turbo codes, 2000 IEEE International Conference on Communications. ICC 2000. Global Convergence Through Communications. Conference Record, pp.602-606, 2000.
DOI : 10.1109/ICC.2000.853567

J. Liu and G. Tu, Iterative Decoding of Non-binary Turbo Codes Using Symbol Based SOVA Algorithm, 2006 International Conference on Communications, Circuits and Systems, pp.689-693, 2006.
DOI : 10.1109/ICCCAS.2006.284749

L. Gong, W. Xiaofu, and Y. Xiaoxin, On SOVA for nonbinary codes, IEEE Communications Letters, vol.3, issue.12, pp.335-337, 1999.
DOI : 10.1109/4234.809527

E. Boutillon, W. Gross, and P. Gulak, VLSI architectures for the MAP algorithm, IEEE Transactions on Communications, vol.51, issue.2, pp.175-185, 2003.
DOI : 10.1109/TCOMM.2003.809247

URL : https://hal.archives-ouvertes.fr/hal-00105229

W. Gross and P. Gulak, Simplified MAP algorithm suitable for implementation of turbo decoders, Electronics Letters, vol.34, issue.16, pp.1577-1578, 1998.
DOI : 10.1049/el:19981120

Z. Wang, High-speed recursion architectures for MAP-based turbo decoders Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.15, pp.470-474, 2007.

C. Douillard and C. Berrou, Turbo Codes With Rate-<tex>$m/(m+ 1)$</tex>Constituent Convolutional Codes, IEEE Transactions on Communications, vol.53, issue.10, pp.1630-1638, 2005.
DOI : 10.1109/TCOMM.2005.857165

A. Alvarado, V. Nunez, L. Szczecinski, and E. Agrell, Correcting Suboptimal Metrics in Iterative Decoders, 2009 IEEE International Conference on Communications, pp.1-6, 2009.
DOI : 10.1109/ICC.2009.5198866

J. Vogt and A. Finger, Improving the max-log-MAP turbo decoder, Electronics Letters, vol.36, issue.23, pp.1937-1939, 2000.
DOI : 10.1049/el:20001357

J. Chen and M. Fossorier, Near optimum universal belief propagation based decoding of LDPC codes and extension to turbo decoding, Proceedings. 2001 IEEE International Symposium on Information Theory (IEEE Cat. No.01CH37252), p.189, 2001.
DOI : 10.1109/ISIT.2001.936052

S. Benedetto and G. Montorsi, Serial concatenation of block and convolutional codes, Electronics Letters, vol.32, issue.10, pp.887-888, 1996.
DOI : 10.1049/el:19960621

S. Benedetto and G. Montorsi, Iterative decoding of serially concatenated convolutional codes, Electronics Letters, vol.32, issue.13, pp.1186-1188, 1996.
DOI : 10.1049/el:19960793

S. Dolinar and D. Divsalar, Weight distributions for turbo codes using random and nonrandom permutations, pp.56-65, 1995.

J. Hokfelt, O. Edfors, and T. Maseng, A turbo code interleaver design criterion based on the performance of iterative decoding, IEEE Communications Letters, vol.5, issue.2, pp.52-54, 2001.
DOI : 10.1109/4234.905933

C. Berrou, Y. Saouter, C. Douillard, S. Kerouedan, and M. Jezequel, Designing good permutations for turbo codes: towards a single model, 2004 IEEE International Conference on Communications (IEEE Cat. No.04CH37577), pp.341-345, 2004.
DOI : 10.1109/ICC.2004.1312507

S. Crozier and P. Guinand, Distance upper bounds and true minimum distance results for Turbo-Codes designed with DRP interleavers, Annales des Télécommunications, vol.60, issue.12, pp.10-28, 2005.

J. Sun and O. Takeshita, Interleavers for turbo codes using permutation polynomials over integer rings Information Theory, IEEE Transactions on, vol.51, pp.101-119, 2005.

M. Jezequel, C. Berrou, C. Douillard, and P. Penard, Characteristics of a sixteenstate turbo-encoder/decoder (turbo4), International Symposium on Turbo Codes & Related Topics, pp.3-5, 1997.

D. Gnaedig, High Speed decoding of convolutional turbo Codes, 2005.

S. Haddad, A. Baghdadi, and M. Jezequel, On the Convergence Speed of Turbo Demodulation With Turbo Decoding, IEEE Transactions on Signal Processing, vol.60, issue.8, pp.4452-4458
DOI : 10.1109/TSP.2012.2198550

URL : https://hal.archives-ouvertes.fr/hal-00739630

C. Van-berkel, Multi-core for mobile phones, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.1260-1265, 2009.
DOI : 10.1109/DATE.2009.5090858

S. Galli, On the Fair Comparison of FEC Schemes, 2010 IEEE International Conference on Communications, pp.1-6, 2010.
DOI : 10.1109/ICC.2010.5501811

F. Kienle, N. Wehn, and H. Meyr, On Complexity, Energy- and Implementation-Efficiency of Channel Decoders, IEEE Transactions on Communications, vol.59, issue.12, pp.3301-3310, 2011.
DOI : 10.1109/TCOMM.2011.092011.100157

O. Muller, A. Baghdadi, and M. Jezequel, SPC05-3: On the Parallelism of Convolutional Turbo Decoding and Interleaving Interference, IEEE Globecom 2006, pp.1-5, 2006.
DOI : 10.1109/GLOCOM.2006.558

C. Wong, M. Lai, C. Lin, H. Chang, and C. Lee, Turbo decoder using contention-free interleaver and parallel architecture Solid-State Circuits, IEEE Journal, vol.45, pp.422-432, 2010.
DOI : 10.1109/jssc.2009.2038428

J. Hsu and C. Wang, A parallel decoding scheme for turbo codes, Circuits and Systems, 1998. ISCAS '98. Proceedings of the 1998 IEEE International Symposium on, pp.445-448, 1998.

Z. Wang, Z. Chi, and K. Parhi, Area-efficient high speed decoding schemes for turbo/MAP decoders, Acoustics, Speech, and Signal Processing Proceedings . (ICASSP '01). 2001 IEEE International Conference on, pp.2633-2636, 2001.

J. Zhang and M. Fossorier, Shuffled Iterative Decoding, IEEE Transactions on Communications, vol.53, issue.2, pp.209-213, 2005.
DOI : 10.1109/TCOMM.2004.841982

O. Muller, A. Baghdadi, and M. Jezequel, Exploring Parallel Processing Levels for Convolutional Turbo Decoding, 2006 2nd International Conference on Information & Communication Technologies, pp.2353-2358, 2006.
DOI : 10.1109/ICTTA.2006.1684774

Z. Wang and K. Parhi, High performance, high throughput turbo/SOVA decoder design, IEEE Transactions on Communications, vol.51, issue.4, pp.570-579, 2003.
DOI : 10.1109/TCOMM.2003.810832

O. Muller, Architectures multiprocesseurs monopuces génériques pour turbocommunications haut-débit, 2007.

T. Wolf, Initialization of sliding windows in turbo decoders, International Symposium on Turbo Codes & Related Topics, pp.219-222, 2003.

A. Dingninou, F. Raouafi, and C. Berrou, Organisation de la mémoire dans un turbo decodeur utilisant l'algorithme SUB-MAP, Dix-septième colloque GRETSI, pp.71-74, 1999.

J. Dielissen and J. Huisken, State vector reduction for initialization of sliding windows MAP, International Symposium on Turbo Codes & Related Topics, pp.387-390, 2000.

J. Zhang, Y. Wang, M. Fossorier, and J. Yedidia, Replica shuffled iterative decoding, Information Theory, 2005. ISIT 2005. Proceedings. International Symposium on, pp.454-458, 2005.

J. Zhang, Y. Wang, M. Fossorier, and J. Yedidia, Iterative decoding with replicas Information Theory, IEEE Transactions on, vol.53, pp.1644-1663, 2007.

O. Muller, A. Baghdadi, and M. Jezequel, Parallelism Efficiency in Convolutional Turbo Decoding, EURASIP Journal on Advances in Signal Processing, vol.2010, issue.1, 2010.
DOI : 10.1109/LCOMM.2002.801310

URL : https://hal.archives-ouvertes.fr/hal-00569878

H. Dawid, G. Gehnen, and H. Meyr, Map channel decoding: Algorithm and VLSI architecture, Proceedings of IEEE Workshop on VLSI Signal Processing, pp.141-149, 1993.
DOI : 10.1109/VLSISP.1993.404493

H. Dawid and H. Meyr, Real-time algorithms and VLSI architectures for soft output MAP convolutional decoding, Proceedings of 6th International Symposium on Personal, Indoor and Mobile Radio Communications, pp.193-197, 1995.
DOI : 10.1109/PIMRC.1995.476882

A. Worm, H. Lamm, and N. Wehn, A high-speed MAP architecture with optimized memory size and power consumption, 2000 IEEE Workshop on SiGNAL PROCESSING SYSTEMS. SiPS 2000. Design and Implementation (Cat. No.00TH8528), pp.265-274, 2000.
DOI : 10.1109/SIPS.2000.886725

M. Mansour and N. Shanbhag, VLSI architectures for SISO-APP decoders Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, pp.627-650, 2003.

S. Lee, N. Shanbhag, and A. Singer, A 285-MHz pipelined MAP decoder in 0.18-µm CMOS Solid-State Circuits, IEEE Journal, vol.40, pp.1718-1725, 2005.

S. Lee, N. Shanbhag, and A. Singer, Area-efficient high-throughput MAP decoder architectures Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.13, pp.921-933, 2005.

G. Fettweis and H. Meyr, Parallel Viterbi algorithm implementation: breaking the ACS-bottleneck, IEEE Transactions on Communications, vol.37, issue.8, pp.785-790, 1989.
DOI : 10.1109/26.31176

M. Bickerstaff, L. Davis, C. Thomas, D. Garrett, and C. Nicol, A 24Mb/s radix-4 logMAP turbo decoder for 3GPP-HSDPA mobile wireless, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC., pp.150-484, 2003.
DOI : 10.1109/ISSCC.2003.1234244

Y. Zhang and K. Parhi, High-Throughput Radix-4 logMAP Turbo Decoder Architecture, 2006 Fortieth Asilomar Conference on Signals, Systems and Computers, pp.1711-1715, 2006.
DOI : 10.1109/ACSSC.2006.355053

C. Studer, C. Benkeser, S. Belfanti, and Q. Huang, Design and implementation of a parallel turbo-decoder ASIC for 3GPP-LTE Solid-State Circuits, IEEE Journal, vol.46, pp.8-17, 2011.

F. Jin, J. Tang, Z. F. Wang, and L. Guo, A radix-8 Log-MAP recursion VLSI architecture, Communication Technology 11th IEEE International Conference on, pp.347-350, 2008.

C. Tang, C. Wong, C. Chen, C. Lin, and H. Chang, A 952MS/s Max-Log MAP Decoder Chip using Radix-4 ??? 4 ACS Architecture, 2006 IEEE Asian Solid-State Circuits Conference, pp.79-82, 2006.
DOI : 10.1109/ASSCC.2006.357856

K. Shr, Y. Chang, C. Lin, and Y. Huang, A 6.6pJ/bit/iter radix-16 modified log-MAP decoder using two-stage ACS architecture, IEEE Asian Solid-State Circuits Conference 2011, pp.313-316, 2011.
DOI : 10.1109/ASSCC.2011.6123575

S. Benedetto, G. Montorsi, D. Divsalar, and F. Pollara, A Soft-Input Soft-Output Maximum A Posteriori (MAP) Module to Decode Parallel and Serial Concatenated Codes, Telecommunications and Data Acquisition Progress Report, vol.127, pp.1-20, 1996.

C. Schurgers, F. Catthoor, and M. Engels, Memory optimization of MAP turbo decoder algorithms Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.9, pp.305-312, 2001.

A. Viterbi, An intuitive justification and a simplified implementation of the MAP decoder for convolutional codes, Selected Areas in Communications, pp.260-264, 1998.
DOI : 10.1109/49.661114

R. Dobkin, M. Peleg, and R. Ginosar, Parallel interleaver design and VLSI architecture for low-latency MAP turbo decoders Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.13, pp.427-438, 2005.

G. Masera, M. Mazza, G. Piccinini, F. Viglione, and M. Zamboni, Architectural strategies for low-power VLSI turbo decoders Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.10, pp.279-285, 2002.

C. Wong and H. Chang, High-efficiency processing schedule for parallel turbo decoders using QPP interleaver Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.58, pp.1412-1420, 2011.

D. Gnaedig, E. Boutillon, J. Tousch, and M. Jezequel, Towards an optimal parallel decoding of turbo codes, 4th International Symposium on turbo codes and related topics, 2006.
URL : https://hal.archives-ouvertes.fr/hal-00105239

S. Brink, Convergence behavior of iteratively decoded parallel concatenated codes, IEEE Transactions on Communications, vol.49, issue.10, pp.1727-1737, 2001.
DOI : 10.1109/26.957394

O. Sánchez, C. Jégo, and M. Jézéquel, Analysis of the convergence process by EXIT charts for parallel implementations of turbo decoders Accepted for publication

T. Richardson, A. Shokrollahi, and R. Urbanke, Design of provably good lowdensity parity check codes, Information Theory Proceedings. IEEE International Symposium on, p.199, 2000.

C. Nour and C. Douillard, CTH11-4: On Lowering the Error Floor of High Order Turbo BICM Schemes Over Fading Channels, IEEE Globecom 2006, pp.1-5, 2006.
DOI : 10.1109/GLOCOM.2006.96

J. W. Lee and R. E. Blahut, Convergence Analysis and BER Performance of Finite-Length Turbo Codes, IEEE Transactions on Communications, vol.55, issue.5, pp.1033-1043, 2007.
DOI : 10.1109/TCOMM.2007.895995

J. Lee and R. Blahut, Lower Bound on BER of Finite-Length Turbo Codes Based on EXIT Characteristics, IEEE Communications Letters, vol.8, issue.4, pp.238-240, 2004.
DOI : 10.1109/LCOMM.2004.825727

S. Haddad, O. Sánchez, A. Baghdadi, and M. Jezequel, Complexity reduction of shuffled parallel iterative demodulation with turbo decoding, 2012 19th International Conference on Telecommunications (ICT), pp.1-6, 2012.
DOI : 10.1109/ICTEL.2012.6221298

URL : https://hal.archives-ouvertes.fr/hal-00725057

P. Robertson, E. Villebrun, and P. Hoeher, A comparison of optimal and suboptimal MAP decoding algorithms operating in the log domain, ICC '95 Seattle IEEE International Conference on, pp.1009-1013, 1995.

Y. Saouter and C. Berrou, Fast soft-output Viterbi decoding for duo-binary turbo codes, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353), pp.885-888, 2002.
DOI : 10.1109/ISCAS.2002.1009983

O. Joeressen, M. Vaupel, and H. Meyr, High-speed VLSI architectures for softoutput viterbi decoding, Application Specific Array Processors, 1992. Proceedings of the International Conference on, pp.373-384, 1992.

D. Garrett and M. Stan, Low power architecture of the soft-output Viterbi algorithm, Proceedings of the 1998 international symposium on Low power electronics and design , ISLPED '98, pp.262-267, 1998.
DOI : 10.1145/280756.280928

C. M. Rader, Memory Management in a Viterbi Decoder, COM-29, pp.1399-1401, 1981.
DOI : 10.1109/TCOM.1981.1095146

O. Collins and F. Pollara, Memory management in traceback Viterbi decoders, 1989.

G. Feygin and P. Gulak, Architectural tradeoffs for survivor sequence memory management in Viterbi decoders, IEEE Transactions on Communications, vol.41, issue.3, pp.425-429, 1993.
DOI : 10.1109/26.221067

R. Cypher and C. Shung, Generalized trace back techniques for survivor memory management in the Viterbi algorithm, Global Telecommunications Conference, 1990, and Exhibition. 'Communications: Connecting the Future', GLOBECOM '90, pp.1318-1322, 1990.

E. Angui, Conception d'un circuit intégré VLSI turbo-décodeur, 1994.

C. X. Huang and A. Ghrayeb, An improved SOVA algorithm for turbo codes over AWGN and fading channel, Personal, Indoor and Mobile Radio Communications 15th IEEE International Symposium on, pp.1121-1125, 2004.

L. Papke, P. Robertson, and E. Villebrun, Improved decoding with the SOVA in a parallel concatenated (turbo-code) scheme Converging Technologies for Tomorrow's Applications, IEEE International Conference on, pp.102-106, 1996.

L. Lin and R. Cheng, Improvements in SOVA-based decoding for turbo codes, ICC 97 Montreal, 'Towards the Knowledge Millennium'. 1997 IEEE International Conference on, pp.1473-1478, 1997.

G. Montorsi and S. Benedetto, Design of fixed-point iterative decoders for concatenated codes with interleavers, Selected Areas in Communications, pp.871-882, 2001.

Y. Wu and B. Woerner, The influence of quantization and fixed point arithmetic upon the BER performance of turbo codes, Vehicular Technology Conference, pp.1683-1687, 1999.

G. Masera, G. Piccinini, M. Roch, and M. Zamboni, VLSI architectures for turbo codes Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.7, pp.369-379, 1999.

J. Hsu and C. Wang, On finite-precision implementation of a decoder for turbo codes, Circuits and Systems, 1999. ISCAS '99. Proceedings of the 1999 IEEE International Symposium on, pp.423-426, 1999.

C. Shung, P. Siegel, G. Ungerboeck, and H. Thapar, VLSI architectures for metric normalization in the Viterbi algorithm, IEEE International Conference on Communications, Including Supercomm Technical Sessions, pp.1723-1728, 1990.
DOI : 10.1109/ICC.1990.117356

A. Hekstra, An alternative to metric rescaling in Viterbi decoders, IEEE Transactions on Communications, vol.37, issue.11, pp.1220-1222, 1989.
DOI : 10.1109/26.46516

Y. Wu, B. Woerner, and T. Blankenship, Data width requirements in SISO decoding with module normalization, Communications, IEEE Transactions on, vol.49, pp.1861-1868, 2001.

C. Benkeser, A. Burg, T. Cupaiuolo, and Q. Huang, Design and optimization of an HSDPA turbo decoder ASIC Solid-State Circuits, IEEE Journal, vol.44, pp.98-106, 2009.

C. Studer, S. Fateh, C. Benkeser, and Q. Huang, Implementation Trade-Offs of Soft-Input Soft-Output MAP Decoders for Convolutional Codes Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.59, pp.2774-2783

O. Sánchez, C. Jégo, M. Jézéquel, and Y. Saouter, High speed low complexity radix-16 Max-Log-MAP SISO decoder, 2012 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012), 2012.
DOI : 10.1109/ICECS.2012.6463718

P. Adde and R. Pyndiah, Recent simplifications and improvements in Block Turbo Codes, 2nd International Symposium on Turbo Codes & Related Topics, pp.133-136, 2000.

E. Boutillon, C. Douillard, and G. Montorsi, Iterative Decoding of Concatenated Convolutional Codes: Implementation Issues, Proceedings of the IEEE, vol.95, issue.6, pp.1201-1227, 2007.
DOI : 10.1109/JPROC.2007.895202

URL : https://hal.archives-ouvertes.fr/hal-00167352

M. Thul, F. Gilbert, and N. Wehn, Optimized concurrent interleaving architecture for high-throughput turbo-decoding, 9th International Conference on Electronics, Circuits and Systems, pp.1099-1102, 2002.
DOI : 10.1109/ICECS.2002.1046443

M. Thul, N. Wehn, and L. Rao, Enabling high-speed turbo-decoding through concurrent interleaving, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353), pp.897-900, 2002.
DOI : 10.1109/ISCAS.2002.1009986

M. Thul, F. Gilbert, and N. Wehn, Concurrent interleaving architectures for highthroughput channel coding, Acoustics, Speech, and Signal Processing Proceedings. (ICASSP '03). 2003 IEEE International Conference on, pp.613-629, 2003.

C. Neeb, M. Thul, and N. Wehn, Network-on-Chip-Centric Approach to Interleaving in High Throughput Channel Decoders, 2005 IEEE International Symposium on Circuits and Systems, pp.1766-1769, 2005.
DOI : 10.1109/ISCAS.2005.1464950

G. Wang, Y. Sun, J. Cavallaro, and Y. Guo, High-throughput Contention-Free concurrent interleaver architecture for multi-standard turbo decoder, ASAP 2011, 22nd IEEE International Conference on Application-specific Systems, Architectures and Processors, pp.113-121, 2011.
DOI : 10.1109/ASAP.2011.6043259

A. Tarable and S. Benedetto, Mapping Interleaving Laws to Parallel Turbo Decoder Architectures, IEEE Communications Letters, vol.8, issue.3, pp.162-164, 2004.
DOI : 10.1109/LCOMM.2004.823364

URL : http://porto.polito.it/1534111/1/1534111.pdf

A. Tarable, S. Benedetto, and G. Montorsi, Mapping interleaving laws to parallel turbo and LDPC decoder architectures Information Theory, IEEE Transactions on, vol.50, 2002.

C. Chavet, P. Coussy, P. Urard, and E. Martin, Static Address Generation Easing: a design methodology for parallel interleaver architectures, 2010 IEEE International Conference on Acoustics, Speech and Signal Processing, pp.1594-1597, 2010.
DOI : 10.1109/ICASSP.2010.5495535

URL : https://hal.archives-ouvertes.fr/hal-00455121

A. Sani, P. Coussy, C. Chavet, and E. Martin, An approach based on edge coloring of tripartite graph for designing parallel LDPC interleaver architecture, 2011 IEEE International Symposium of Circuits and Systems (ISCAS), pp.1720-1723, 2011.
DOI : 10.1109/ISCAS.2011.5937914

A. Sani, P. Coussy, C. Chavet, and E. Martin, A methodology based on Transportation problem modeling for designing parallel interleaver architectures, 2011 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), pp.1613-1616, 2011.
DOI : 10.1109/ICASSP.2011.5946806

A. Giulietti, L. Van-der-perre, and A. Strum, Parallel turbo coding interleavers: avoiding collisions in accesses to storage elements, Electronics Letters, vol.38, issue.5, pp.232-234, 2002.
DOI : 10.1049/el:20020148

D. Gnadieg, E. Boutillon, V. Gaudet, P. G. Gulak, and M. Jezequel, On multiple slice turbo codes, 3rd International Symposium On Turbo Codes and Related Topics, pp.343-346, 2003.

Y. Zheng and Y. Su, A new interleaver design and its application to turbo codes, Vehicular Technology Conference Proceedings. VTC 2002-Fall. 2002 IEEE 56th, pp.1437-1441, 2002.

A. Nimbalker, T. Fuja, J. Costello, D. J. , T. Blankenship et al., Contention-free interleavers, International Symposium onInformation Theory, 2004. ISIT 2004. Proceedings., p.54, 2004.
DOI : 10.1109/ISIT.2004.1365089

O. Takeshita, On maximum contention-free interleavers and permutation polynomials over integer rings Information Theory, IEEE Transactions on, vol.52, pp.1249-1253, 2006.

A. Nimbalker, T. Blankenship, B. Classon, T. Fuja, and D. Costello, Contention-Free Interleavers for High-Throughput Turbo Decoding, IEEE Transactions on Communications, vol.56, issue.8, pp.1258-1267, 2008.
DOI : 10.1109/TCOMM.2008.050502

A. Nimbalker, Y. Blankenship, B. Classon, and T. Blankenship, ARP and QPP Interleavers for LTE Turbo Coding, 2008 IEEE Wireless Communications and Networking Conference, pp.1032-1037, 2008.
DOI : 10.1109/WCNC.2008.187

J. Ryu and O. Takeshita, On quadratic inverses for quadratic permutation polynomials over integer rings Information Theory, IEEE Transactions on, vol.52, pp.1254-1260, 2006.

Y. Sun and J. R. Cavallaro, Efficient hardware implementation of a highly-parallel 3GPP LTE/LTE-advance turbo decoder, Integration, the VLSI Journal, vol.44, issue.4, pp.305-315, 2011.
DOI : 10.1016/j.vlsi.2010.07.001

C. Wong, Y. Lee, and H. Chang, A 188-size 2.1mm 2 reconfigurable turbo decoder chip with parallel architecture for 3GPP LTE system, VLSI Circuits, pp.288-289, 2009.

Y. Lee and . Su, 22 nj/b/iter 0.13 µm turbo decoder chip using inter-block permutation interleaver, Custom Integrated Circuits Conference, pp.273-276, 2007.

T. Ilnseher, F. Kienle, C. Weis, and N. Wehn, A 2.15GBit/s turbo code decoder for LTE advanced base station applications, 2012 7th International Symposium on Turbo Codes and Iterative Information Processing (ISTC), pp.21-25
DOI : 10.1109/ISTC.2012.6325191

C. S. Wallace, Fast pseudorandom generators for normal and exponential variates, ACM Transactions on Mathematical Software, vol.22, issue.1, pp.119-127, 1996.
DOI : 10.1145/225545.225554

O. Sánchez, M. Arzel, C. Jégo, A. García, and M. Guerrero, Design and implementation of a MIMO channel emulator onto FPGA device, XV Iberchip Workshop, IWS'09, 2009.

O. Sánchez, S. Ur-rehman, A. Sani, C. Chavet, P. Coussy et al., A Dedicated Approach to Explore Design Space for Hardware Architecture of Turbo Decoders, 2012 IEEE Workshop on Signal Processing Systems, 2012.
DOI : 10.1109/SiPS.2012.18

V. E. Benes, Mathematical Theory of connecting network and telephone traffic, 1965.

P. A. Marshall, V. C. Gaudet, and D. G. Elliott, Deeply pipelined digit-serial LDPC decoding Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.59, pp.2934-2944
DOI : 10.1109/tcsi.2012.2206461

M. Ercegovac, Online arithmetic: An overview, Proc. SPIE V.495: Real Time Signal Processing VII, pp.86-93, 1984.

. List, J. Publications, . O. @bullet, C. Sánchez, M. Jégo et al., Analysis of the convergence process by EXIT charts for parallel implementations of turbo decoders Accepted for publication

C. O. @bullet, M. Sánchez, C. Arzel, A. Jégo, M. García et al., Design and implementation of a MIMO channel emulator onto FPGA device, XV Iberchip Workshop, IWS'09, 2009.

@. S. Haddad, O. Sánchez, A. Baghdadi, and M. Jézéquel, Complexity reduction of shuffled parallel iterative demodulation with turbo decoding, 2012 19th International Conference on Telecommunications (ICT), pp.1-6, 2012.
DOI : 10.1109/ICTEL.2012.6221298

URL : https://hal.archives-ouvertes.fr/hal-00725057

@. O. Sánchez, S. Ur-rehman, A. Sani, C. Chavet, P. Coussy et al., A Dedicated Approach to Explore Design Space for Hardware Architecture of Turbo Decoders, 2012 IEEE Workshop on Signal Processing Systems, 2012.
DOI : 10.1109/SiPS.2012.18

@. O. Sánchez, C. Jégo, M. Jézéquel, and Y. Saouter, High speed low complexity radix-16 Max-Log-MAP SISO decoder, 2012 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012), 2012.
DOI : 10.1109/ICECS.2012.6463718

@. O. Sánchez, C. Jégo, and M. Jézéquel, Décodeur radix-16 à entrées et sorties pondérées pour un turbo-décodage à haut débit, XXIVème Colloque Gretsi, 2013.