]. S. Références-bibliographiques-[-bor+03, T. Borkar, S. Karnik, J. Narendra, and . Tschanz, Parameter variations and impact on circuits and microarchitecture, Proceedings. Fév. 2003, pp.338-342, 2003.

]. Y. Xia+10, T. Xiang, R. Chantem, X. Dick, and . Hu, System-level reliability modeling for MPSoCs, Software Codesign and System Synthesis (CODES+ ISSS), pp.297-306, 2010.

]. T. Gup11 and . Gupta, « Handling design issues related to reliability in MPSoC at functional level Université Bordeaux 1, déc, Thèse de doct, p.35, 2011.

C. [. Segura and . Hawkins, Cmos Electronics : How It Works, How It Fails, 2004.
DOI : 10.1002/0471728527

D. [. Hennessy and . Patterson, Computer Architecture, Fourth Edition : A Quantitative Approach, 2006.

]. K. Ste08 and . Stevens, Process Scaling, 2008.

C. [. Koren and . Krishna, Fault-Tolerant Systems, 2007.

]. T. Gup+09a, C. Gupta, O. Bertolini, N. Heron, and . Ventroux, Effects of various applications on relative lifetime of processor cores, pp.132-135, 2009.

]. Dod98 and . Dod, Circuit Design for Reliability Handbook, Rapp. tech. DoD, 1998.

[. Jedec, Failure Mechanisms and Models for Semiconductor Devices JEDEC Solid State Technology Association, pp.15-34, 2010.

]. A. Str+09, E. Strong, R. Wu, J. Vollertsen, and . Sune, Reliability Wearout Mechanisms in Advanced Cmos Technologies, pp.9-15, 2009.

]. V. Hua+03, F. Huard, G. Monsieur, S. Ribes, and . Bruyere, « Evidence for hydrogenrelated defects during NBTl stress in p-MOSFETs, Reliability Physics Symposium Proceedings, 2003. 41st Annual. 2003 IEEE International, pp.178-182, 2003.

]. K. Hof+10, H. Hofmann, K. Reisinger, C. Ermisch, and . Schlunder, Highly accurate product-level aging monitoring in 40nm CMOS, 2010 Symposium on. Juin, pp.27-28, 2010.

]. M. Pag03 and . Pagey, « Hot-Carrier Reliability Simulation in Aggressively Scaled MOS Transistors, Thèse de doct, pp.15-30, 2003.

]. C. Hu+85, S. C. Hu, F. Tam, P. Hsu, and . Ko, Hot-Electron-Induced MOSFET Degradation -Model, Monitor, and Improvement ». Dans : Solid-State Circuits, IEEE Journal, vol.20, issue.1, pp.295-305, 1985.

]. M. Aga+07, B. Agarwal, M. Paul, S. Zhang, and . Mitra, « Circuit Failure Prediction and Its Application to Transistor Aging, VLSI Test Symposium, pp.277-286, 2007.

R. [. Bhasker and . Chadha, Static Timing Analysis for Nanometer Designs : A Practical Approach URL : http://books.google.fr/books? id=N1Zn1RdqPVoC (cf, pp.17-21, 2009.

]. W. Sho50 and . Shockley, Electrons and holes in semiconductors : with applications to transistor electronics. Bell Telephone Laboratories series, 1950.

]. J. Mar+09, S. Martín-martínez, R. Gerardin, M. Rodríguez, and . Nafría, Gate dielectric degradation in CMOS inverters, Microelectronic Engineering, vol.8610, pp.2123-2126, 2009.

]. V. Hua+11, N. Huard, F. Ruiz, E. Cacho, and . Pion, « A bottom-up approach for System- On-Chip reliability, Microelectronics Reliability, vol.51, issue.20, pp.9-11, 2011.

]. R. Tu+93, E. Tu, W. Rosenbaum, C. Chan, and . Li, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.1210, issue.30, pp.1524-1534

M. [. Lorenz, U. Barke, and . Schlichtmann, Aging analysis at gate and macro cell level, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.77-84, 2010.
DOI : 10.1109/ICCAD.2010.5654309

]. W. She+10, Y. Shen, X. Cai, J. Hong, and . Hu, « An Effective Gated Clock Tree Design Based on Activity and Register Aware Placement, Very Large Scale Integration (VLSI) Systems, pp.1639-1648

B. [. Lu and . Taskin, Clock Tree Synthesis with XOR Gates for Polarity Assignment, 2010 IEEE Computer Society Annual Symposium on VLSI, pp.17-22, 2010.
DOI : 10.1109/ISVLSI.2010.62

M. Gupta, J. Rivers, P. Bose, and G. Wei, Design for PVT variations with local recovery and fine-grained adaptation, Microarchitecture , 2009. MICRO-42. 42nd Annual IEEE/ACM International Symposium on. Déc, pp.435-446, 2009.

[. Itrs, Process Integration, Devices, and Structures. International Technology Roadmap for Semiconductors URL : http://www.itrs.net/Links, 2011.

S. Rigo, G. Araujo, M. Bartholomeu, and R. Azevedo, ArchC : a systemCbased architecture description language SBAC-PAD 2004. 16th Symposium on, Computer Architecture and High Performance Computing, pp.66-73, 2004.

]. G. Bel+08, C. Beltrame, L. Bolchini, A. Fossati, and . Miele, ReSP : A nonintrusive Transaction-Level Reflective MPSoC Simulation Platform for design space exploration, Design Automation Conference, pp.673-678, 2008.

]. M. Sch+07, S. De, A. Mendonca, F. Carvalho, and O. Furtado, Automaticallyretargetable model-driven tools for embedded code inspection in SoCs, Circuits and Systems MWSCAS 2007. 50th Midwest Symposium on. Août, pp.245-248, 2007.

S. [. Kavvadias and . Nikolaidis, Elimination of Overhead Operations in Complex Loop Structures for Embedded Microprocessors, IEEE Transactions on Computers, vol.57, issue.2, pp.200-214, 2008.
DOI : 10.1109/TC.2007.70790

]. J. Mor+11, F. Moreira, A. Klein, P. Baldassin, and . Centoducatte, Using multiple abstraction levels to speedup an MPSoC virtual platform simulator, Rapid System Prototyping (RSP), 2011 22nd IEEE International Symposium on. Mai, pp.99-105, 2011.

G. [. Lorenz, U. Georgakos, and . Schlichtmann, Aging analysis of circuit timing considering NBTI and HCI, 2009 15th IEEE International On-Line Testing Symposium, pp.3-8, 2009.
DOI : 10.1109/IOLTS.2009.5195975

. [. Bestory, « Développement de stratégies de conception en vue de la fiabilité pour la simulation et la prévision des durées de vie de circuits intégrés dès la phase de conception, Thèse de doct, pp.28-30, 2008.

]. D. Gaj+92, N. D. Gajski, A. C. Dutt, S. Y. Wu, and . Lin, High Level Synthesis, Introduction to Chip and System Design, pp.28-29, 1992.

D. [. Nagel and . Pederson, SPICE (Simulation Program with Integrated Circuit Emphasis) Rapp. tech. UCB/ERL M382, EECS Department, 1973.

N. [. Takeda and . Suzuki, An empirical model for device degradation due to hotcarrier injection, Electron Device Letters, pp.111-113

]. Cadb and . Cadence, Virtuoso Multi-Mode Simulation

]. N. Rui+11, V. Ruiz-amador, E. Huard, F. Pion, and . Cacho, Bottom-up digital system-level reliability modeling, Custom Integrated Circuits Conference (CICC), pp.1-4, 2011.

]. D. Lor12 and . Lorenz, Aging Analysis of Digital Integrated Circuits, Thèse de doct, pp.46-48, 2012.

]. C. Par+06, M. Parthasarathy, V. Denais, G. Huard, and . Ribes, Designing in reliability in advanced CMOS technologies, pp.9-11, 2006.

]. J. Sri+04, S. Srinivasan, P. Adve, J. Bose, and . Rivers, « The impact of technology scaling on lifetime reliability, Dependable Systems and Networks, 2004 International Conference on, pp.177-186, 2004.

]. J. Sri+03, S. Srinivasan, P. Adve, J. Bose, and . Rivers, RAMP a model for reliability aware microprocessor design, Rapp. tech. RC23048. IBM, vol.38, pp.33-39, 2003.

]. K. Ska+03, M. R. Skadron, W. Stan, S. Huang, and . Velusamy, Temperature-aware microarchitecture, Proceedings of the 30th Annual International Symposium on Computer Architecture, pp.2-13, 2003.

V. [. Brooks, M. Tiwari, and . Martonosi, « Wattch : a framework for architecturallevel power analysis and optimizations, Proceedings of the 27th International Symposium on. Juin, pp.83-94, 2000.

]. A. Cos+09, R. Coskun, D. M. Strong, T. Tullsen, . Simunic et al., « Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors, Proceedings of the eleventh international joint conference on Measurement and modeling of computer systems. SIGMETRICS '09, pp.169-180, 2009.

]. C. Per+05, J. Pereira, B. Lau, R. Calder, and . Gupta, Dynamic phase analysis for cycle-close trace generation, Proceedings of the 3rd IEEEIFIP international conference on Hardware/software codesign and system synthesis. CODES+ ISSS '05. Jersey City, pp.321-326, 2005.

]. A. Cos+06, T. S. Coskun, Y. Rosing, G. Leblebici, . De et al., « A simulation methodology for reliability analysis in multi-core SoCs, Proceedings of the 16th ACM Great Lakes symposium on VLSI, pp.95-99, 2006.

K. [. Rosing, G. Mihic, . De, and . Micheli, Power and Reliability Management of SoCs, Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 15, pp.391-403, 2007.
DOI : 10.1109/TVLSI.2007.895245

]. J. Gui11 and . Guilhemsang, « Test en ligne pour la détection des fautes intermittentes dans les architectures multiprocesseurs embarquées, Thèse de doct, 2011.

X. [. Abella, A. Vera, . Gonzalez, and . Penelope, The NBTI-Aware Processor, 40th Annual IEEE/ACM International Symposium on. Déc, pp.85-96, 2007.

]. T. Gup+11a, C. Gupta, O. Bertolini, N. Héron, and . Ventroux, Impact of Power Consumption and Temperature on Processor Lifetime Reliability ». Anglais, JOLPE (déc. 2011)

E. [. Mesa-martinez, J. Ardestani, and . Renau, « Characterizing processor thermal behavior, Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems. ASPLOS '10, pp.193-204, 2010.

V. Tiwari, S. Malik, and A. Wolfe, « Power analysis of embedded software : a first step towards software power minimization, Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 2.4 (déc, pp.437-445, 1994.

M. [. Macii, F. Pedram, and . Somenzi, « High-level power modeling, estimation, and optimization ». Dans : Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.1711, pp.1061-1079, 1998.

]. T. Gup+10a, C. Gupta, O. Bertolini, N. Heron, and . Ventroux, High Level Power and Energy Exploration Using ArchC, Computer Architecture and High Performance Computing (SBAC-PAD), 2010 22nd International Symposium on, pp.25-32, 2010.

]. B. Kla98 and . Klass, Modeling Inter-instruction Energy Effects in a Digital Signal Processor Research report (Carnegie Mellon University. Center for Electronic Design Automation ), 1998.

]. J. Lau+04, N. Laurent, E. Julien, E. Senn, and . Martin, « Functional level power analysis : an efficient approach for modeling the power consumption of complex processors, Europe Conference and Exhibition Proceedings. T. 1, pp.666-667, 2004.

]. C. Bec+11, A. Bechara, N. Berhault, S. Ventroux, and . Chevobbe, A Small Footprint Interleaved Multithreaded Processor for Embedded Systems, p.64, 2011.

W. [. Abadeer and . Ellis, Behavior of NBTI under AC dynamic circuit conditions, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual., pp.17-22, 2003.
DOI : 10.1109/RELPHY.2003.1197714

]. Q. Lseb, S. Liu, and . Sapatnekar, « Capturing Post-Silicon Variations Using a Representative Critical Path Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.29, issue.2, pp.211-222

]. T. Gup+10c, C. Gupta, O. Bertolini, N. Heron, and . Ventroux, Reliability Aware ArchC based Processor Simulator, 2010 IEEE International, pp.153-156, 2010.

]. C. Ber+12, O. Bertolini, N. Heron, F. Ventroux, and . Marc, « Relation between HCIinduced performance degradation and applications in a RISC processor, Line Testing Symposium (IOLTS), 2012 IEEE 18th International, pp.67-72, 2012.

]. O. Her+13, C. Heron, C. Bertolini, N. Sandionigi, and . Ventroux, On the Simulation of HCI-Induced Variations of IC Timings at High Level, Journal of Electronic Testing, vol.29, pp.127-141, 2013.