A. Alameldeen and D. Wood, IPC Considered Harmful for Multiprocessor Workloads, IEEE Micro, vol.26, issue.4, pp.8-17, 2006.
DOI : 10.1109/MM.2006.73

E. Argollo, A. Falcón, P. Faraboschi, M. Monchiero, and D. Ortega, COTSon, ACM SIGOPS Operating Systems Review, vol.43, issue.1, pp.52-61, 2009.
DOI : 10.1145/1496909.1496921

T. Austin, E. Larson, and D. Ernst, SimpleScalar: an infrastructure for computer system modeling, Computer, vol.35, issue.2, pp.59-67, 2002.
DOI : 10.1109/2.982917

S. Balakrishnan, R. Rajwar, M. Upton, and K. Lai, The impact of performance asymmetry in emerging multicore architectures, ACM SIGARCH Computer Architecture News, pp.506-517, 2005.

B. Barnes and J. Slice, Simnow: A fast and functionally accurate amd x86-64 system simulator, Tutorial at the IEEE International Workload Characterization Symposium, 2005.

F. Bellard, Qemu, a fast and portable dynamic translator, 2005.

C. Bienia, S. Kumar, J. Singh, and K. Li, The PARSEC benchmark suite, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, PACT '08, pp.72-81, 2008.
DOI : 10.1145/1454115.1454128

N. Binkert, R. Dreslinski, L. Hsu, K. Lim, A. Saidi et al., The M5 Simulator: Modeling Networked Systems, IEEE Micro, vol.26, issue.4, pp.52-60, 2006.
DOI : 10.1109/MM.2006.82

S. Blackburn, R. Garner, C. Hoffmann, A. Khang, K. Mckinley et al., The dacapo benchmarks: Java benchmarking development and analysis, ACM SIGPLAN Notices, pp.169-190, 2006.

R. Carl and J. Smith, Modeling superscalar processors via statistical simulation, Workshop on Performance Analysis and Its Impact on Design, 1998.

T. E. Carlson, W. Heirman, and L. Eeckhout, Sniper, Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis on, SC '11, 2011.
DOI : 10.1145/2063384.2063454

X. E. Chen and T. M. Aamodt, Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs, Proc. of the 41st Int. Symp. on Microarchitecture, 2008.

D. Chiou, H. Angepat, N. Patil, and D. Sunwoo, Accurate Functional-First Multicore Simulators, IEEE Computer Architecture Letters, vol.8, issue.2, pp.64-67, 2009.
DOI : 10.1109/L-CA.2009.44

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.455.7690

D. Chiou, D. Sunwoo, J. Kim, N. Patil, W. Reinhart et al., FPGA-Accelerated Simulation Technologies (FAST): Fast, Full-System, Cycle-Accurate Simulators, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007), pp.249-261, 2007.
DOI : 10.1109/MICRO.2007.36

S. Cho, S. Demetriades, S. Evans, L. Jin, H. Lee et al., TPTS: A Novel Framework for Very Fast Manycore Processor Architecture Simulation, 2008 37th International Conference on Parallel Processing, pp.446-453, 2008.
DOI : 10.1109/ICPP.2008.7

D. Citron, Misspeculation: partial and misleading use of spec cpu2000 in computer architecture conferences, ACM SIGARCH Computer Architecture News, pp.52-61, 2003.

W. G. Cochran, Sampling Techniques, 1977.

T. M. Conte, Systematic computer architecture prototyping, 1992.

T. Conte, M. Hirsch, and W. Hwu, Combining trace sampling with single pass methods for efficient cache simulation, IEEE Transactions on Computers, vol.47, issue.6, pp.714-720, 1998.
DOI : 10.1109/12.689650

K. V. Craeynest and L. Eeckhout, The Multi-Program Performance Model: Debunking current practice in multi-core simulation, 2011 IEEE International Symposium on Workload Characterization (IISWC), 2011.
DOI : 10.1109/IISWC.2011.6114194

H. Cragon, C. Dubach, T. Jones, and M. O. Boyle, Computer architecture and implementation Microarchitectural design space exploration using an architecture-centric approach, Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture, pp.262-271, 2000.

M. Durbhakula, V. S. Pai, and S. Adve, Improving the accuracy vs. speed tradeoff for simulating shared-memory multiprocessors with ILP processors, Proceedings Fifth International Symposium on High-Performance Computer Architecture, 1999.
DOI : 10.1109/HPCA.1999.744317

L. Eeckhout, Computer Architecture Performance Evaluation Methods, Synthesis Lectures on Computer Architecture, vol.5, issue.1, pp.1-145, 2010.
DOI : 10.2200/S00273ED1V01Y201006CAC010

L. Eeckhout, K. De-bosschere, and H. Neefs, Performance analysis through synthetic trace generation, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422), pp.1-6, 2000.
DOI : 10.1109/ISPASS.2000.842273

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.217.3678

L. Eeckhout, H. Vandierendonck, and K. De-bosschere, Designing computer architecture research workloads, Computer, vol.36, issue.2, pp.65-71, 2003.
DOI : 10.1109/MC.2003.1178050

L. Eeckhout, H. Vandierendonck, and K. De-bosschere, Quantifying the impact of input data sets on program behavior and its applications, Journal of Instruction- Level Parallelism, vol.5, issue.1, pp.1-33, 2003.

L. Eeckhout, R. H. Bell-jr, B. Stougie, K. De-bosschere, and L. K. John, Control flow modeling in statistical simulation for accurate and efficient processor design studies, Computer Architecture Proceedings. 31st Annual International Symposium on. IEEE, pp.350-361, 2004.

J. Emer and D. Clark, A characterization of processor performance in the vax- 11, ACM SIGARCH Computer Architecture News, pp.301-310, 1984.

B. Everitt, S. Landau, M. Leese, and D. Stahl, Cluster analysis, 2011.

S. Eyerman and L. Eeckhout, System-Level Performance Metrics for Multiprogram Workloads, IEEE Micro, vol.28, issue.3, 2008.
DOI : 10.1109/MM.2008.44

S. Eyerman, L. Eeckhout, T. Karkhanis, and J. Smith, A mechanistic performance model for superscalar out-of-order processors, ACM Transactions on Computer Systems, vol.27, issue.2, p.3, 2009.
DOI : 10.1145/1534909.1534910

S. Eyerman, J. E. Smith, and L. Eeckhout, Characterizing the branch misprediction penalty, 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2011.
DOI : 10.1109/ISPASS.2006.1620789

B. Fields, S. Rubin, and R. Bodik, Focusing processor policies via critical-path prediction, Proc. of the 28th Int. Symp. on Computer Architecture, 2001.
DOI : 10.1145/384285.379253

URL : http://cadal.cse.nsysu.edu.tw/seminar/seminar_file/2002/10/Focusing processor policies via critical-path prediction.pdf

B. A. Fields, R. Bodik, M. D. Hill, and C. J. Newburn, Using interaction costs for microarchitectural bottleneck analysis, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003.
DOI : 10.1109/MICRO.2003.1253198

P. J. Fleming and J. J. Wallace, How not to lie with statistics: the correct way to summarize benchmark results, Communications of the ACM, vol.29, issue.3, pp.218-221, 1986.
DOI : 10.1145/5666.5673

D. Genbrugge and L. Eeckhout, Statistical simulation of chip multiprocessors running multi-program workloads, 2007 25th International Conference on Computer Design, pp.464-471, 2007.
DOI : 10.1109/ICCD.2007.4601940

D. Genbrugge, L. Eeckhout, and K. De-bosschere, Accurate memory data flow modeling in statistical simulation, Proceedings of the 20th annual international conference on Supercomputing , ICS '06, pp.87-96, 2006.
DOI : 10.1145/1183401.1183415

D. Genbrugge, S. Eyerman, and L. Eeckhout, Interval simulation: Raising the level of abstraction in architectural simulation, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, pp.1-12, 2010.
DOI : 10.1109/HPCA.2010.5416636

S. R. Goldschmidt and J. L. Hennessy, The accuracy of trace-driven simulations of multiprocessors, Proc. of the ACM SIGMETRICS Conf. on Measurement and Modeling of Computer Systems, 1993.

R. Gupta, B. Calder, J. Lau, and C. Pereira, Dynamic phase analysis for cycleclose trace generation, Hardware/Software Codesign and System Synthesis, 2005. CODES+ ISSS'05 Third IEEE/ACM/IFIP International Conference on, pp.321-326, 2005.

N. Hardavellas, S. Somogyi, T. Wenisch, R. Wunderlich, S. Chen et al., SimFlex, ACM SIGMETRICS Performance Evaluation Review, vol.31, issue.4, pp.31-34, 2004.
DOI : 10.1145/1054907.1054914

J. Hennessy and D. Patterson, Computer architecture: a quantitative approach, 2011.

J. Henning, SPEC CPU2000: measuring CPU performance in the New Millennium, Computer, vol.33, issue.7, pp.28-35, 2000.
DOI : 10.1109/2.869367

E. Ïpek, S. Mckee, R. Caruana, B. De-supinski, and M. Schulz, Efficiently exploring architectural design spaces via predictive modeling, 2006.

]. A. Jaleel, K. Theobald, S. C. Steely-jr, and J. Emer, High Performance Cache Replacement Using Re-Reference Interval Prediction (RRIP), Proc. of the 37th Annual International Symposium on Computer Architecture, 2010.

L. K. John, More on finding a single number to indicate overall performance of a benchmark suite, ACM SIGARCH Computer Architecture News, vol.32, issue.1, 2004.
DOI : 10.1145/991124.991126

R. Johnson and D. Wichern, Applied Multivariate Statistical Analysis., Biometrics, vol.54, issue.3, 1992.
DOI : 10.2307/2533879

P. Joseph, K. Vaswani, and M. Thazhuthaveetil, Construction and Use of Linear Regression Models for Processor Performance Analysis, The Twelfth International Symposium on High-Performance Computer Architecture, 2006., pp.99-108, 2006.
DOI : 10.1109/HPCA.2006.1598116

A. Joshi, J. Yi, R. Bell-jr, L. Eeckhout, L. John et al., Evaluating the efficacy of statistical simulation for design space exploration, " in Performance Analysis of Systems and Software, IEEE International Symposium on. IEEE, pp.70-79, 2006.

S. Kanaujia, I. E. Papazian, J. Chamberlain, and J. Baxter, FastMP : a multi-core simulation methodology, Workshop on Modeling, Benchmarking and Simulation, 2006.

T. S. Karkhanis and J. E. Smith, A first-order superscalar processor model, Proc. of the 31st Int. Symp. on Computer Architecture, 2004.

R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen, Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.81-92, 2003.
DOI : 10.1109/MICRO.2003.1253185

R. Kumar, D. M. Tullsen, N. P. Jouppi, and P. Ranganathan, Heterogeneous chip multiprocessors, Computer, vol.38, issue.11, pp.32-38, 2005.
DOI : 10.1109/MC.2005.379

S. Laha, J. H. Patel, and R. K. Iyer, Accurate low-cost methods for performance evaluation of cache memory systems, IEEE Transactions on Computers, vol.37, issue.11, pp.1325-1336, 1988.
DOI : 10.1109/12.8699

B. Lee and D. Brooks, Accurate and efficient regression modeling for microarchitectural performance and power prediction, ACM SIGOPS Operating Systems Review, pp.185-194, 2006.

B. Lee, D. Brooks, B. De-supinski, M. Schulz, K. Singh et al., Methods of inference and learning for performance modeling of parallel applications, Proceedings of the 12th ACM SIGPLAN symposium on Principles and practice of parallel programming , PPoPP '07, pp.249-258, 2007.
DOI : 10.1145/1229428.1229479

C. Lee, M. Potkonjak, and W. Mangione-smith, Mediabench: a tool for evaluating and synthesizing multimedia and communicatons systems, Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, pp.330-335, 1997.

K. Lee and S. Cho, In-N-Out: Reproducing Out-of-Order Superscalar Processor Behavior from Reduced In-Order Traces, 2011 IEEE 19th Annual International Symposium on Modelling, Analysis, and Simulation of Computer and Telecommunication Systems, 2011.
DOI : 10.1109/MASCOTS.2011.16

K. Lee, S. Evans, and S. Cho, Accurately approximating superscalar processor performance from traces, 2009 IEEE International Symposium on Performance Analysis of Systems and Software, 2009.
DOI : 10.1109/ISPASS.2009.4919655

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.218.794

Y. Li, B. Lee, D. Brooks, Z. Hu, and K. Skadron, CMP Design Space Exploration Subject to Physical Constraints, The Twelfth International Symposium on High-Performance Computer Architecture, 2006., pp.17-28, 2006.
DOI : 10.1109/HPCA.2006.1598109

G. Loh, A time-stamping algorithm for efficient performance estimation of superscalar processors, Proc. of the ACM SIGMETRICS Int. Conf. on Measurement and Modeling of Computer Systems, 2001.

G. Loh, S. Subramaniam, and Y. Xie, Zesto: A cycle-level simulator for highly detailed microarchitecture exploration, 2009 IEEE International Symposium on Performance Analysis of Systems and Software, 2009.
DOI : 10.1109/ISPASS.2009.4919638

K. Luo, J. Gummaraju, and M. Franklin, Balancing throughput and fairness in SMT processors, Proc. of the IEEE International Symposium on Performance Analysis of Systems and Software, 2001.

P. Magnusson, M. Christensson, J. Eskilson, D. Forsgren, G. Hallberg et al., Simics: A full system simulation platform, Computer, vol.35, issue.2, pp.50-58, 2002.
DOI : 10.1109/2.982916

M. Martin, D. Sorin, B. Beckmann, M. Marty, M. Xu et al., Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset, ACM SIGARCH Computer Architecture News, vol.33, issue.4, pp.92-99, 2005.
DOI : 10.1145/1105734.1105747

J. R. Mashey, War of the benchmark means, ACM SIGARCH Computer Architecture News, vol.32, issue.4, 2004.
DOI : 10.1145/1040136.1040137

C. J. Mauer, M. D. Hill, and D. A. Wood, Full-system timing-first simulation, Proc. of the ACM SIGMETRICS Int. Conf. on Measurement and Modeling of Computer Systems, 2002.

P. Michaud, Demystifying multicore throughput metrics, IEEE Computer Architecture Letters, vol.12, issue.2, 2012.
DOI : 10.1109/L-CA.2012.25

URL : https://hal.archives-ouvertes.fr/hal-00737044

C. Minh, J. Chung, C. Kozyrakis, and K. Olukotun, Stamp: Stanford transactional applications for multi-processing, Workload Characterization, pp.35-46, 2008.

J. Moses, R. Illikkal, R. Iyer, R. Huggahalli, and D. Newell, ASPEN: towards effective simulation of threads & engines in evolving platforms, The IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, 2004. (MASCOTS 2004). Proceedings., pp.51-58, 2004.
DOI : 10.1109/MASCOT.2004.1348181

O. Mutlu, H. Kim, D. Armstrong, and Y. Patt, Understanding the effects of wrong-path memory references on processor performance, Proceedings of the 3rd workshop on Memory performance issues in conjunction with the 31st international symposium on computer architecture, WMPI '04, pp.56-64, 2004.
DOI : 10.1145/1054943.1054951

D. Nellans, V. Kadaru, and E. Brunvand, ASIM-An asynchronous architectural level simulator, Proceedings of GLSVLSI. Citeseer, 2004.

D. B. Noonburg and J. P. Shen, Theoretical modeling of superscalar processor performance, Proceedings of the 27th annual international symposium on Microarchitecture , MICRO 27, 1994.
DOI : 10.1145/192724.192730

S. Nussbaum and J. Smith, Modeling superscalar processors via statistical simulation, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques, pp.15-24, 2001.
DOI : 10.1109/PACT.2001.953284

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.19.221

P. Ortego and P. Sack, SESC: SuperESCalar simulator, 17 th Euro micro conference on real time systems (ECRTS'05), pp.1-4, 2004.

E. Perelman, G. Hamerly, M. Van-biesbrouck, T. Sherwood, and B. Calder, Using simpoint for accurate and efficient simulation, ACM SIGMETRICS Performance Evaluation Review, pp.318-319, 2003.

R. Plackett and J. Burman, THE DESIGN OF OPTIMUM MULTIFACTORIAL EXPERIMENTS, Biometrika, vol.33, issue.4, pp.305-325, 1946.
DOI : 10.1093/biomet/33.4.305

M. Qureshi, A. Jaleel, Y. Patt, S. C. Steely-jr, and J. Emer, Adaptive insertion policies for high performance caching, Proc. of the 34th Annual International Symposium on Computer Architecture, 2007.

A. Rico, A. Duran, F. Cabarcas, Y. Etsion, A. Ramirez et al., Tracedriven simulation of multithreaded applications, Performance Analysis of Systems and Software (ISPASS), 2011 IEEE International Symposium on. IEEE, pp.87-96, 2011.

M. Rosenblum, S. Herrod, E. Witchel, and A. Gupta, Complete computer system simulation: the SimOS approach, Parallel & Distributed Technology: Systems & Applications, IEEE, pp.34-43, 1995.
DOI : 10.1109/88.473612

F. Ryckbosch, S. Polfliet, and L. Eeckhout, Fast, Accurate, and Validated Full-System Software Simulation of x86 Hardware, IEEE Micro, vol.30, issue.6, pp.46-56, 2010.
DOI : 10.1109/MM.2010.95

R. Sendag, A. Yilmazer, J. Yi, and A. Uht, Quantifying and reducing the effects of wrong-path memory references in cache-coherent multiprocessor systems, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, p.10, 2006.
DOI : 10.1109/IPDPS.2006.1639260

T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, Automatically characterizing large scale program behavior, Proc. of the 10th Int. Conf. on Architectural Support for Programming Languages and Operating Systems, 2002.
DOI : 10.1145/605397.605403

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.118.6150

T. Sherwood, S. Sair, and B. Calder, Phase tracking and prediction, ACM SIGARCH Computer Architecture News, vol.31, issue.2, pp.336-349, 2003.
DOI : 10.1145/871656.859657

J. E. Smith, Characterizing computer performance with a single number, Communications of the ACM, vol.31, issue.10, pp.1202-1206, 1988.
DOI : 10.1145/63039.63043

A. Snavely and D. M. Tullsen, Symbiotic jobscheduling for simultaneous multithreading processor, Proc. of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, 2000.

D. J. Sorin, V. S. Pai, S. V. Adve, M. K. Vernon, and D. A. Wood, Analytic evaluation of shared-memory systems with ILP processors, Proc. of the 25th Int. Symp. on Computer Architecture, 1998.

C. Spradling, SPEC CPU2006 benchmark tools, ACM SIGARCH Computer Architecture News, vol.35, issue.1, pp.130-134, 2007.
DOI : 10.1145/1241601.1241625

M. Vachharajani, N. Vachharajani, D. Penry, J. Blome, and D. August, The Liberty Simulation Environment, version 1.0, ACM SIGMETRICS Performance Evaluation Review, vol.31, issue.4, pp.19-24, 2004.
DOI : 10.1145/1054907.1054912

M. Van-biesbrouck, L. Eeckhout, and B. Calder, Considering all starting points for simultaneous multithreading simulation Representative multiprogram workloads for multithreaded processor simulation, Proc. of the Int. Symp. on Performance Analysis of Systems and Software Proc. of the IEEE International Symposium on Workload Characterization, 2006.

H. Vandierendonck and A. Seznec, Fairness Metrics for Multi-Threaded Processors, IEEE Computer Architecture Letters, vol.10, issue.1, 2011.
DOI : 10.1109/L-CA.2011.1

R. Wunderlich, T. Wenisch, B. Falsafi, and J. Hoe, SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling, Computer Architecture , 2003. Proceedings. 30th Annual International Symposium on. IEEE, pp.84-95, 2003.
DOI : 10.1109/isca.2003.1206991

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.112.6527

J. Yi, L. Eeckhout, D. Lilja, B. Calder, L. John et al., The future of simulation: a field of dreams, Computer, vol.39, issue.11, pp.22-29, 2006.
DOI : 10.1109/MC.2006.404

J. Yi, D. Lilja, and D. Hawkins, A statistically rigorous approach for improving simulation methodology, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings., pp.281-291, 2003.
DOI : 10.1109/HPCA.2003.1183546

M. Yourst, Ptlsim users guide and reference: The anatomy of an x86-64 out of order microprocessor

L. Zhao, R. Iyer, J. Moses, R. Illikkal, S. Makineni et al., Exploring Large-Scale CMP Architectures Using ManySim, IEEE Micro, vol.27, issue.4, pp.21-33, 2007.
DOI : 10.1109/MM.2007.66

. Inv and H. .. Coef, of variation for 4 cores, IPCT vs. WSU vs, p.62

. Inv and . Coef, of variation measured with BADCO for 2, 4 and 8 cores, p.63

>. Efficacité-des-méthodes-d-'échantillonnage, F. Lru, and . If-o->-rn-d....., Confiance en fonction de la taille de l'échantillon par DIP, p.89