A. Amirkhany, A 12.8-Gb/s/link Tri-Modal Single-Ended Memory Interface Solid-State Circuits, IEEE Journal, vol.47, issue.4, pp.911-925, 2012.

. Seung-jun and . Bae, A 40nm 2Gb 7Gb/s/pin GDDR5 SDRAM with a programmable DQ ordering crosstalk equalizer and adjustable clocktracking BW, Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp.498-500, 2011.

. Seung-jun and . Bae, A 60nm 6Gb/s/pin GDDR5 Graphics DRAM with Multifaceted Clocking and ISI/SSN-Reduction Techniques, Solid-State Circuits Conference, pp.278-613, 2008.

. Bae, A 1.2V 30nm 1.6Gb/s/pin 4Gb LPDDR3 SDRAM with input skew calibration and enhanced control scheme, 2012 IEEE International Solid-State Circuits Conference, pp.44-46, 2012.
DOI : 10.1109/ISSCC.2012.6176871

URL : https://hal.archives-ouvertes.fr/hal-00417958

S. Borkar, Parameter variations and impact on circuits and microarchitecture, Proceedings of the 40th conference on Design automation , DAC '03, pp.338-342, 2003.
DOI : 10.1145/775832.775920

]. J. Buckwalter and A. Hajimiri, Cancellation of Crosstalk-Induced Jitter, IEEE Journal of Solid-State Circuits, vol.41, issue.3, pp.621-632, 2006.
DOI : 10.1109/JSSC.2005.864113

M. Chen, Low-voltage low-power LVDS drivers, IEEE Journal of Solid-State Circuits, vol.40, issue.2, pp.472-479, 2005.
DOI : 10.1109/JSSC.2004.840955

. Chen, The effect of channel hot-carrier stressing on gate-oxide integrity in MOSFETs, IEEE Transactions on Electron Devices, vol.35, issue.12, pp.2253-2258, 1988.
DOI : 10.1109/16.8800

W. J. Dally and J. Poulton, Transmitter equalization for 4-Gbps signaling, IEEE Micro, vol.17, issue.1, pp.48-56, 1997.
DOI : 10.1109/40.566199

. Dobberpuhl, A 200-MHz 64-b dual-issue CMOS microprocessor, IEEE Journal of Solid-State Circuits, vol.27, issue.11, pp.1555-1567, 1992.
DOI : 10.1109/4.165336

]. H. Fujisawa, An 8.1-ns Column-Access 1.6-Gb/s/pin DDR3 SDRAM With an 8:4 Multiplexed Data-Transfer Scheme, IEEE Journal of Solid-State Circuits, vol.42, issue.1, pp.201-209, 2007.
DOI : 10.1109/JSSC.2006.888298

]. T. Furukawa, Accelerated gate-oxide breakdown in mixed-voltage I/O circuits, 1997 IEEE International Reliability Physics Symposium Proceedings. 35th Annual, pp.169-173, 1997.
DOI : 10.1109/RELPHY.1997.584255

T. J. Gabara and S. C. Knauer, Digitally adjustable resistors in CMOS for high-performance applications, IEEE Journal of Solid-State Circuits, vol.27, issue.8, pp.1176-1185, 1992.
DOI : 10.1109/4.148326

]. T. Gabara, Forming damped LRC parasitic circuits in simultaneously switched CMOS output buffers, Proceedings of Custom Integrated Circuits Conference, pp.277-280, 1996.
DOI : 10.1109/CICC.1996.510558

]. S. Hashemi, The close attached capacitor: a solution to switching noise problems, IEEE Transactions on Components, Hybrids, and Manufacturing Technology, vol.15, issue.6, pp.1056-1063, 1992.
DOI : 10.1109/33.206931

H. Jung, A 4 Gb/s 3-bit Parallel Transmitter With the Crosstalk-Induced Jitter Compensation Using TX Data Timing Control, SOI circuit design concepts, 2007.
DOI : 10.1109/JSSC.2009.2028917

M. R. Casu, Comparative analysis of PD-SOI active body-biasing circuits, 2000 IEEE International SOI Conference. Proceedings (Cat. No.00CH37125), pp.94-95, 2000.
DOI : 10.1109/SOI.2000.892786

]. K. Cheng, Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications, 2009 IEEE International Electron Devices Meeting (IEDM), pp.1-4, 2009.
DOI : 10.1109/IEDM.2009.5424422

]. S. Damaraju, A 22nm IA multi-CPU and GPU System-on-Chip, 2012 IEEE International Solid-State Circuits Conference, pp.56-57, 2012.
DOI : 10.1109/ISSCC.2012.6176876

J. Dorsey, An Integrated Quad-Core Opteron Processor, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, pp.102-103, 2007.
DOI : 10.1109/ISSCC.2007.373608

S. Cristoloveanu, Buried oxide fringing capacitance: a new physical model and its implication on SOI device scaling and architecture, SOI Conference Proceedings. 1999 IEEE International, pp.38-39, 1999.

]. O. Faynot, Planar Fully depleted SOI technology: A powerful architecture for the 20nm node and beyond, 2010 International Electron Devices Meeting, pp.3-5, 2010.
DOI : 10.1109/IEDM.2010.5703287

]. C. Fenouillet-beranger, Fully-depleted SOI technology using high-k and single-metal gate for 32 nm node LSTP applications featuring 0.179 ?m2 6T-SRAM bitcell, Electron Devices Meeting, pp.267-270, 2007.

. Beranger, Hybrid FDSOI/bulk High-k/metal gate platform for low power (LP) multimedia technology, Electron Devices Meeting (IEDM), pp.1-4, 2009.

C. Fenouillet-beranger, Efficient multi-VT FDSOI technology with UTBOX for low power circuit design, 2010 Symposium on VLSI Technology (VLSIT), pp.65-66, 2010.

]. A. Keshavarzi, Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs, Proceedings of the 2001 international symposium on Low power electronics and design , ISLPED '01, pp.207-212, 2001.
DOI : 10.1145/383082.383135

S. Kim, Leakage current: Moore's law meets static power, Computer, vol.36, issue.12, pp.68-75, 2003.

T. Kuroda, A 0.9-V, 150-MHz, 10-mW, 4 mm/sup 2/, 2-D discrete cosine transform core processor with variable threshold-voltage (VT) scheme, IEEE Journal of Solid-State Circuits, vol.31, issue.11, pp.1770-1779, 1996.
DOI : 10.1109/JSSC.1996.542322

URL : https://hal.archives-ouvertes.fr/in2p3-00021287

]. J. Lecoz-'11a and . Coz, Comparison of 65nm LP bulk and LP PD-SOI with adaptive power gate body bias for an LDPC codec, Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp.336-337, 2011.

]. J. Lecoz-'11b and . Coz, Réduction de la consommation statique des circuits intégrés en technologie SOI 65nm partiellement désertée, Thèse de l'université de Grenoble, 2011.

]. S. Lin and J. B. Kuo, Temperature-dependent kink effect model for partially-depleted SOI NMOS devices, IEEE Transactions on Electron Devices, vol.46, issue.1, pp.254-258, 1999.
DOI : 10.1109/16.737467

]. N. Lindert, Dynamic threshold pass-transistor logic for improved delay at lower power supply voltages, IEEE Journal of Solid-State Circuits, vol.34, issue.1, pp.85-89, 1999.
DOI : 10.1109/4.736659

]. Q. Liu, Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond, 2010 Symposium on VLSI Technology, pp.61-62, 2010.
DOI : 10.1109/VLSIT.2010.5556120

]. K. Mistry, A 45nm Logic Technology with High-k+Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging, 2007 IEEE International Electron Devices Meeting, pp.247-250, 2007.
DOI : 10.1109/IEDM.2007.4418914

]. T. Mizuno, Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's, IEEE Transactions on Electron Devices, vol.41, issue.11, pp.2216-2221, 1994.
DOI : 10.1109/16.333844

J. Noel, Multi-<formula formulatype="inline"><tex Notation="TeX">$V_{T}$</tex></formula> UTBB FDSOI Device Architectures for Low-Power CMOS Circuit, IEEE Transactions on Electron Devices, vol.58, issue.8, pp.2473-2482, 2011.
DOI : 10.1109/TED.2011.2155658

J. G. Pelella and . Fossum, On the performance advantage of PD/SOI CMOS with floating bodies, IEEE Transactions on Electron Devices, vol.49, issue.1, pp.96-104, 2002.
DOI : 10.1109/16.974755

. Pelella, Hysteresis in floating-body PD/SOI CMOS circuits, 1999 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers. (Cat. No.99TH8453), pp.278-281, 1999.
DOI : 10.1109/VTSA.1999.786054

]. M. Pelgrom, A. C. Duinmaijer, and A. P. Welbers, Matching properties of MOS transistors Solid-State Circuits, IEEE Journal, vol.24, issue.5, pp.1433-1439, 1989.

. Pham, The design and implementation of a first-generation CELL processor, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005., pp.184-592, 2005.
DOI : 10.1109/ISSCC.2005.1493930

. Raskin, Substrate crosstalk reduction using SOI technology, IEEE Transactions on Electron Devices, vol.44, issue.12, pp.2252-2261, 1997.
DOI : 10.1109/16.644646

]. P. Roche and G. Gasiot, Impacts of front-end and middle-end process modifications on terrestrial soft error rate, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.382-396, 2005.
DOI : 10.1109/TDMR.2005.853451

]. K. Roy, Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits, Proceedings of the IEEE, pp.305-327, 2003.
DOI : 10.1109/JPROC.2002.808156

J. R. Schwank, Radiation effects in SOI technologies, IEEE Transactions on Nuclear Science, vol.50, issue.3, pp.522-538, 2003.
DOI : 10.1109/TNS.2003.812930

]. T. Skotnicki, Innovative Materials, Devices, and CMOS Technologies for Low-Power Mobile Multimedia, Electron Devices, pp.96-130, 2008.
DOI : 10.1109/TED.2007.911338

. Tenbroek, Impact of self-heating and thermal coupling on analog circuits in SOI CMOS, IEEE Journal of Solid-State Circuits, vol.33, issue.7, pp.1037-1046, 1998.
DOI : 10.1109/4.701253

]. O. Weber, High immunity to threshold voltage variability in undoped ultra-thin FDSOI MOSFETs and its physical understanding, 2008 IEEE International Electron Devices Meeting, pp.1-4, 2008.
DOI : 10.1109/IEDM.2008.4796663

]. A. Wei, M. J. Sherony, and D. A. Antoniadis, Effect of floating-body charge on SOI MOSFET design, IEEE Transactions on Electron Devices, vol.45, issue.2, pp.430-438, 1998.
DOI : 10.1109/16.658677

. Zhang, An Integratable Dual Metal Gate/High-k CMOS Solution for FD-SOI and MuGFET Technologies, 2005 IEEE International SOI Conference Proceedings, pp.157-158, 2005.
DOI : 10.1109/SOI.2005.1563572