Power consumption model for partial dynamic reconfiguration, Proc. of International Conference on ReConFigurable Computing and FPGA (RECONFIG'2012), 2012. ,
URL : https://hal.archives-ouvertes.fr/hal-00741611
UPaRC—Ultra-fast power-aware reconfiguration controller, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.1373-1385, 2012. ,
DOI : 10.1109/DATE.2012.6176705
Towards a power and energy efficient use of partial dynamic reconfiguration, 6th International Workshop on Reconfigurable Communication-Centric Systems-on-Chip (ReCoSoC), pp.20-22, 2011. ,
DOI : 10.1109/ReCoSoC.2011.5981540
URL : https://hal.archives-ouvertes.fr/hal-00650638
Parallelism Level Impact on Energy Consumption in Reconfigurable Devices, ACM SIGARCH Computer Architecture News, vol.39, issue.4, pp.104-105, 2011. ,
DOI : 10.1145/2082156.2082186
URL : https://hal.archives-ouvertes.fr/hal-00650631
FPGA modeling for SoC design exploration, International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies (HEART), 2011. ,
URL : https://hal.archives-ouvertes.fr/hal-00650630
Power Modelling in Field Programmable Gate Arrays (FPGA), International Workshop on Field Programmable Logic and Applications, pp.396-404, 1999. ,
AADL Extension to Model Classical FPGA and FPGA Embedded within a SoC, International Journal of Reconfigurable Computing, vol.2011, p.425401, 2011. ,
DOI : 10.1145/1376804.1376810
URL : https://hal.archives-ouvertes.fr/hal-00650628
Low power digital CMOS design, 1995. ,
Progress in digital integrated electronics, Electron Devices Meeting, pp.11-13, 1975. ,
Intel 22nm 3-D Tri-Gate Transistor Technology, 2011. ,
Leakage current : Moore's law meets static power, Computer, vol.36, issue.12, pp.68-75 ,
Hotleakage : A temperature-aware model of subthreshold and gate leakage for architects, 2003. ,
Accurate simulation of power dissipation in VLSI circuits, IEEE Journal of Solid-State Circuits, vol.21, issue.5, pp.889-891, 1986. ,
DOI : 10.1109/JSSC.1986.1052622
A survey of power estimation techniques in VLSI circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.446-455, 1994. ,
DOI : 10.1109/92.335013
Register-transfer level estimation techniques for switching activity and power consumption, Proceedings of International Conference on Computer Aided Design, pp.158-165, 1996. ,
DOI : 10.1109/ICCAD.1996.569539
Characterizationfree behavioral power modeling, Proceedings of the conference on Design, automation and test in Europe, pp.767-773, 1998. ,
An RTL power estimation tool with on-line model building capabilities, Proc. Int. Wkshp. Power and Timing Modeling, Optimization and Simulation, pp.391-396, 2001. ,
A Statistical Power Model for Non-synthetic RTL Operators, Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, pp.208-218, 2003. ,
DOI : 10.1007/978-3-540-39762-5_27
Architectural power analysis: The dual bit type method, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.173-187, 1995. ,
DOI : 10.1109/92.386219
Estimation au niveau Architectural de la Consommation des Circuits Dédiés au Traitement Numérique du Signal, 2001. ,
Power macromodeling for high level power estimation, Proceedings of the 34th annual Design Automation Conference, pp.365-370, 1997. ,
Lookup table power macro-models for behavioral library components Clustered Table-Based Macromodels for RTL Power Estimation, Proceedings IEEE Alessandro Volta Memorial Workshop on Low-Power Design Proceedings of the Ninth Great Lakes Symposium on VLSI, pp.173-181, 1999. ,
Analytical model for high level power modeling of combinational and sequential circuits, Proceedings IEEE Alessandro Volta Memorial Workshop on Low-Power Design, pp.164-172, 1999. ,
DOI : 10.1109/LPD.1999.750417
High-level power modeling of CPLDs and FPGAs, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001, pp.46-51, 2001. ,
DOI : 10.1109/ICCD.2001.955002
Fast characterization of RTL power macromodels, ICECS 2001. 8th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.01EX483), pp.1591-1594, 2001. ,
DOI : 10.1109/ICECS.2001.957521
A new parameterizable power macro-model for datapath components, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.29-36, 1999. ,
A Multi-Model Engine for High-Level Power Estimation Accuracy Optimization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.660-673, 2009. ,
DOI : 10.1109/TVLSI.2009.2013627
Controller power estimation using information from behavioral description, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96, pp.679-682, 1996. ,
DOI : 10.1109/ISCAS.1996.542115
Regression-based RTL power models for controllers, Proceedings of the 10th Great Lakes Symposium on VLSI , GLSVLSI '00, pp.147-152, 2000. ,
DOI : 10.1145/330855.331025
High-Level Power Estimation of FPGA, 2007 IEEE International Symposium on Industrial Electronics, pp.925-930, 2007. ,
DOI : 10.1109/ISIE.2007.4374721
Low-power CMOS digital design, IEEE Journal of Solid-State Circuits, vol.27, issue.4, pp.473-484, 1992. ,
DOI : 10.1109/4.126534
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.136.1616
Saving power by synthesizing gated clocks for sequential circuits, IEEE Design & Test of Computers, vol.11, issue.4, pp.32-41, 1994. ,
DOI : 10.1109/54.329451
Benefits and costs of powergating technique, Proceedings IEEE International Conference on Computer Design VLSI in Computers and Processors, ICCD, 2005. ,
Understanding and minimizing ground bounce during mode transition of power gating structures, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, pp.22-25, 2003. ,
DOI : 10.1145/871506.871515
System level analysis of fast, per-core DVFS using on-chip switching regulators, Proceedings IEEE 14th International Symposium on High Performance Computer Architecture , HPCA, pp.123-1344658633, 2008. ,
Impact of operating points on DVFS power management, 7th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, pp.1-6 ,
DOI : 10.1109/DTIS.2012.6232960
URL : https://hal.archives-ouvertes.fr/hal-00764407
Dynamic voltage scaling techniques for distributed microsensor networks, Proceedings IEEE Computer Society Workshop on VLSI 2000. System Design for a System-on-Chip Era, pp.43-46, 2000. ,
DOI : 10.1109/IWV.2000.844528
AN531 : Reducing Power with Hardware Accelerators, 2008. ,
Xilinx XC6200 FPGA Family Data Sheet, 1995. ,
Virtex-II Pro Platform FPGA User Guide, 2002. ,
Methodology for high level estimation of FPGA power consumption, Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, pp.657-660, 2005. ,
A new reconfigurable clock-gating technique for low power SRAM-based FPGAs, Proceedings Design , Automation & Test in Europe Conference & Exhibition, DATE, pp.1-6, 2011. ,
Clock power reduction for virtex-5 FPGAs, Proceeding of the ACM/SIGDA international symposium on Field programmable gate arrays, FPGA '09, pp.13-22, 2009. ,
DOI : 10.1145/1508128.1508132
Dynamic power optimization by exploiting self-reconfiguration in Xilinx Spartan 3-based systems, Microprocessors and Microsystems, vol.33, issue.1, pp.46-52, 2009. ,
DOI : 10.1016/j.micpro.2008.08.006
Exploiting Hardware and Software Low power Techniques for Energy Efficient Co-Scheduling in Dynamically Reconfigurable Systems, Proceedings International Conference on Field Programmable Logic and Applications, FPL, pp.165-170, 2007. ,
Practical design of a computation and energy efficient hardware task scheduler in embedded reconfigurable computing systems, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, 2006. ,
DOI : 10.1109/IPDPS.2006.1639488
Context saving and restoring for multitasking in reconfigurable systems, International Conference on Field Programmable Logic and Applications, 2005., pp.223-228, 2005. ,
DOI : 10.1109/FPL.2005.1515726
Leakage-aware task scheduling for partially dynamically reconfigurable FP- GAs ,
Energy reduction with run-time partial reconfiguration, Proceedings ACM/SIGDA International Symposium on Field Programmable Gate Arrays, pp.292-292, 2010. ,
VLSI Digital Signal Processing Systems : Design and Implementation, 1999. ,
Impact of Loop Unrolling on Area, Throughput and Clock Frequency in ROCCC: C to VHDL Compiler for FPGAs, Reconfigurable Computing : Architectures and Applications, pp.401-412, 2006. ,
DOI : 10.1007/11802839_48
Optimizing Impulse C Code for Performance, Impulse Accelerated Technologies, Inc, 2004. ,
UG902 -Vivado Design Suite User Guide, 2012. ,
Combining optimizations in automated low power design, Proceedings of the Conference on Design, Automation and Test in Europe, DATE, pp.1791-1796, 2010. ,
The Impact of Loop Unrolling on Controller Delay in High Level Synthesis, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1-6, 2007. ,
DOI : 10.1109/DATE.2007.364623
Run-Time Reconfiguration : A Method for Enhancing the Functional Density of SRAM-based FPGAs Journal of VLSI signal processing systems for signal, image and video technology, pp.67-86, 1996. ,
Architecture-Aware Reconfiguration-Centric Floorplanning for Partial Reconfiguration, Reconfigurable Computing : Architectures, Tools and Applications, pp.13-25978 ,
DOI : 10.1049/iet-cdt:20070012
Methodology for designing partially reconfigurable systems using transaction-level modeling, Proceedings of the 2011 Conference on Design & Architectures for Signal & Image Processing (DASIP), pp.1-7, 2011. ,
DOI : 10.1109/DASIP.2011.6136897
URL : https://hal.archives-ouvertes.fr/hal-00662439
Performance of partial reconfiguration in FPGA systems, ACM Transactions on Reconfigurable Technology and Systems, vol.4, issue.4, pp.1-36 ,
DOI : 10.1145/2068716.2068722
UG081 MicroBlaze Processor Reference Guide, 2011. ,
Run-time Partial Reconfiguration speed investigation and architectural design space exploration, 2009 International Conference on Field Programmable Logic and Applications, pp.498-502, 2009. ,
DOI : 10.1109/FPL.2009.5272463
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.217.4327
Dynamic Reconfiguration Optimisation with Streaming Data Decompression, 2010 International Conference on Field Programmable Logic and Applications, pp.602-607, 2010. ,
DOI : 10.1109/FPL.2010.118
Gbits/s Lossless Data Compression Hardware, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.499-510, 2003. ,
FaRM: Fast Reconfiguration Manager for Reducing Reconfiguration Time Overhead on FPGA, Reconfigurable Computing : Architectures, Tools and Applications, pp.253-260, 2011. ,
DOI : 10.1007/978-3-642-19475-7_26
URL : https://hal.archives-ouvertes.fr/hal-00662158
Reconfiguration time overhead on field programmable gate arrays: reduction and cost model, IET Computers & Digital Techniques, vol.6, issue.2, pp.105-113, 2012. ,
DOI : 10.1049/iet-cdt.2011.0033
Energy-Aware Optimisation for Run-Time Reconfiguration, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, pp.55-62, 2010. ,
DOI : 10.1109/FCCM.2010.17
Power estimation and power measurement of Xilinx Virtex FPGAs: trade-offs and limitations, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings., pp.283-288, 2003. ,
DOI : 10.1109/SBCCI.2003.1232842
Etude du potentiel des architectures reconfigurables pour maîtriser la consommation dans les applications embarquées, 2007. ,
Power Consumption Reduction Through Dynamic Reconfiguration, Field Programmable Logic and Application, pp.751-760, 2004. ,
DOI : 10.1007/978-3-540-30117-2_76
A SoC Design Methodology Involving a UML 2.0 Profile for SystemC, Design, Automation and Test in Europe, pp.704-709, 2005. ,
DOI : 10.1109/DATE.2005.37
URL : https://hal.archives-ouvertes.fr/hal-00181192
Modeling and Analysis of Real-Time and Embedded Systems, 2013. ,
Designing dynamically reconfigurable SoCs: From UML MARTE models to automatic code generation, 2010 Conference on Design and Architectures for Signal and Image Processing (DASIP), pp.68-75 ,
DOI : 10.1109/DASIP.2010.5706248
URL : https://hal.archives-ouvertes.fr/inria-00525003
From high-level modelling of time in MARTE to real-time scheduling analysis. International Workshop on Model Based Architecting and Construction of Embedded Systems, ACESMB, p.129, 2008. ,
URL : https://hal.archives-ouvertes.fr/hal-00924390
Open-PEOPLE -Open-Power and Energy Optimization PLatform and Estimator, 2013. ,
Exploiting data-level parallelism for energy-efficient implementation of LDPC decoders and DCT on an FPGA, ACM Transactions on Reconfigurable Technology and Systems, vol.4, issue.4, pp.1-37, 2011. ,
DOI : 10.1145/2068716.2068723
Fast prototyping H.264 Deblocking filter using ESL tools, Eighth International Multi-Conference on Systems, Signals & Devices, pp.1-4, 2011. ,
DOI : 10.1109/SSD.2011.5767375
URL : https://hal.archives-ouvertes.fr/hal-00662032
UG744 -PlanAhead Software Tutorial : Partial Reconfiguration of a Processor Peripheral, 2011. ,
UG191 -Virtex-5 FPGA Configuration User Guide, 2010. ,
DDR2/DDR3-based ultra-rapid reconfiguration controller, 2012 Fourth International Conference on Communications and Electronics (ICCE), pp.453-458, 2012. ,
DOI : 10.1109/CCE.2012.6315949
LogiCORE IP Block Memory Generator v4.3, 2008. ,
Virtex-5 FPGA User-Guide, 2009. ,
UG360 ? Virtex-6 FPGA Configuration User Guide (v3.1), 2010. ,
Design space exploration for partially reconfigurable architectures in real-time systems, Journal of Systems Architecture (JSA), Under review, 2013. ,
DOI : 10.1016/j.sysarc.2013.06.007
URL : https://hal.archives-ouvertes.fr/hal-00998839
Advanced Video Coding for Generic Audiovisual Services, ITU-T Recommendation H, pp.14496-14506, 2005. ,
STORM a simulation tool for real-time multiprocessor scheduling evaluation, 2010 IEEE 15th Conference on Emerging Technologies & Factory Automation (ETFA 2010), 2010. ,
DOI : 10.1109/ETFA.2010.5641179
URL : https://hal.archives-ouvertes.fr/hal-00495747