E. B. Cowern, K. T. Janssen, G. F. Van-de-walle, and D. J. Gravesteijn, Impurity diffusion via an intermediate species: The B-Si system, Physical Review Letters, vol.65, issue.19, p.2434, 1990.
DOI : 10.1103/PhysRevLett.65.2434

F. Leier, L. N. Safranov, and G. A. Kachurin, Modeling Si nanoprecipitate formation in SiO2 layers with excess Si atoms, Semiconductors, vol.33, issue.4, p.380, 1999.
DOI : 10.1134/1.1187698

C. Bonafos, D. Mathiot, and A. Claverie, Ostwald ripening of end-of-range defects in silicon, Journal of Applied Physics, vol.83, issue.6, p.3008, 1998.
DOI : 10.1063/1.367056

N. Cowern, G. Mannino, P. Stolk, F. Roozeboom, H. Huizing et al., Energetics of Self-Interstitial Clusters in Si, Physical Review Letters, vol.82, issue.22, p.4460, 1999.
DOI : 10.1103/PhysRevLett.82.4460

B. Bonafos, A. Colombeau, M. Altibelli, G. B. Carrada, B. Assayag et al., Kinetic study of group IV nanoparticles ion beam synthesized in SiO2, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.178, issue.1-4, p.17, 2001.
DOI : 10.1016/S0168-583X(01)00497-9

P. A. Stolk, H. ?. Gossmann, D. J. Eaglesham, D. C. Jacobson, C. S. Rafferty et al., Physical mechanisms of transient enhanced dopant diffusion in ion-implanted silicon, Journal of Applied Physics, vol.81, issue.9, p.6031, 1997.
DOI : 10.1063/1.364452

K. Moller, M. Jones, and . Law, Cross-sectional transmission electron microscopy analysis of {311} defects from Si implantation into silicon, Applied Physics Letters, vol.72, issue.20, p.2547, 1998.
DOI : 10.1063/1.120623

C. Lim, F. Rafferty, and . Klemens, The role of the surface in transient enhanced diffusion, Applied Physics Letters, vol.67, issue.16, p.2302, 1995.
DOI : 10.1063/1.115133

H. Gencer and S. T. Dunham, A predictive model for transient enhanced diffusion based on evolution of {311} defects, Journal of Applied Physics, vol.81, issue.2, p.631, 1997.
DOI : 10.1063/1.364204

S. Takeda-jpn, An Atomic Model of Electron-Irradiation-Induced Defects on {113} in Si, Japanese Journal of Applied Physics, vol.30, issue.Part 2, No. 4A, p.639, 1991.
DOI : 10.1143/JJAP.30.L639

H. Gencer and S. T. Dunham, A predictive model for transient enhanced diffusion based on evolution of {311} defects, Journal of Applied Physics, vol.81, issue.2, p.631, 1997.
DOI : 10.1063/1.364204

B. De-mauduit, L. Laânab, C. Bergaud, M. M. Faye, A. Martinez et al., Identification of EOR defects due to the regrowth of amorphous layers created by ion bombardment, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.84, issue.2, p.190, 1994.
DOI : 10.1016/0168-583X(94)95752-5

J. Li and K. S. Jones, {311} defects in silicon: The source of the loops, Applied Physics Letters, vol.73, issue.25, p.3748, 1998.
DOI : 10.1063/1.122882

P. Calvo, A. Claverie, N. Cherkashin, B. Colombeau, Y. Lamrani et al., Thermal evolution of {113} defects in silicon: transformation against dissolution, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.216, p.173, 2004.
DOI : 10.1016/j.nimb.2003.11.075

N. Boninelli, A. Cherkashin, F. Claverie, and . Cristiano, Evidences of an intermediate rodlike defect during the transformation of {113} defects into dislocation loops, Applied Physics Letters, vol.89, issue.16, p.161904, 2006.
DOI : 10.1063/1.2361178

C. Omri, A. Bonafos, A. Claverie, F. Nejim, D. Cristiano et al., Is there an effect of the proximity of a ???free-surface??? on the formation of End-Of-Range defects?, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.120, issue.1-4, p.5, 1996.
DOI : 10.1016/S0168-583X(96)00471-5

F. Cristiano, J. Grisolia, B. Colombeau, M. Omri, B. De-mauduit et al., Formation energies and relative stability of perfect and faulted dislocation loops in silicon, Journal of Applied Physics, vol.87, issue.12, p.8420, 2000.
DOI : 10.1063/1.373557

K. Hofker, H. W. Werner, D. P. Oosthoek, and N. J. Koeman, Boron implantations in silicon: A comparison of charge carrier and boron concentration profiles, Applied Physics, vol.116, issue.4, p.125, 1974.
DOI : 10.1007/BF00884267

L. Vick and K. M. Whittle, Solid Solubility and Diffusion Coefficients of Boron in Silicon, Journal of The Electrochemical Society, vol.116, issue.8, p.1142, 1969.
DOI : 10.1149/1.2412239

A. Stolk, H. ?. Gossmann, D. J. Eagiesham, and J. M. Poate, Implantation and transient boron diffusion: the role of the silicon self-interstitial, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.96, issue.1-2, p.187, 1995.
DOI : 10.1016/0168-583X(94)00481-1

L. Pelaz, M. Jaraiz, G. H. Gilmer, H. ?. Gossmann, C. S. Rafferty et al., B diffusion and clustering in ion implanted Si: The role of B cluster precursors, Applied Physics Letters, vol.70, issue.17, p.2285, 1997.
DOI : 10.1063/1.118839

S. Landi, S. Guimaraes, and . Solmi, Influence of nucleation on the kinetics of boron precipitation in silicon, Applied Physics A Solids and Surfaces, vol.57, issue.2, p.135, 1987.
DOI : 10.1007/BF00626414

J. Pawlak, R. Surdeanu, B. Colombeau, A. J. Smith, N. E. Cowern et al., Evidence on the mechanism of boron deactivation in Ge-preamorphized ultrashallow junctions, Applied Physics Letters, vol.84, issue.12, p.2055, 2004.
DOI : 10.1063/1.1682697

N. E. Cowern, J. Benson, A. J. Smith, S. Lerch, T. Paul et al., Mechanisms of B deactivation control by F co-implantation, Applied Physics Letters, vol.86, issue.10, p.101905, 2005.
DOI : 10.1063/1.1870131

B. Massalski, Binary alloy phase diagrams, 1996.

F. Cristiano, Y. Lamrani, F. Severac, M. Gavelle, S. Boninelli et al., Defects evolution and dopant activation anomalies in ion implanted silicon, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.253, issue.1-2, p.68, 2006.
DOI : 10.1016/j.nimb.2006.10.046

J. Pawlak, T. Janssens, B. Brijs, W. Vandervorst, E. J. Collart et al., Effect of amorphization and carbon co-doping on activation and diffusion of boron in silicon, Applied Physics Letters, vol.89, issue.6, p.62110, 2006.
DOI : 10.1063/1.2227863

V. C. Duffy, A. Venezia, B. J. Heringa, M. J. Pawlak, G. C. Hopstaken et al., Boron diffusion in amorphous silicon and the role of fluorine, Applied Physics Letters, vol.84, issue.21, p.4283, 2004.
DOI : 10.1063/1.1751225

M. Diebel and S. T. Dunham, Calculations to Model Anomalous Fluorine Behavior, Physical Review Letters, vol.93, issue.24, p.245901, 2004.
DOI : 10.1103/PhysRevLett.93.245901

G. Impellizzeri, J. H. Santos, S. Mirabella, F. Priolo, E. Napolitani et al., Role of fluorine in suppressing boron transient enhanced diffusion in preamorphized Si, Applied Physics Letters, vol.84, issue.11, p.1862, 2004.
DOI : 10.1063/1.1675935

F. Boninelli, W. Cristiano, S. Lerch, N. E. Paul, and . Cowern, Influence of F[sup +] Co-Implants on EOR Defect Formation in B[sup +]-Implanted, Ultrashallow Junctions, Electrochemical and Solid-State Letters, vol.10, issue.9, p.264, 2007.
DOI : 10.1149/1.2751837

A. Abdulmalik, P. G. Coleman, N. E. Cowern, A. J. Smith, B. J. Sealy et al., Fluorine-vacancy complexes in ultrashallow B-implanted Si, Applied Physics Letters, vol.89, issue.5, p.52114, 2006.
DOI : 10.1063/1.2335594

J. Narayan, O. W. Holland, W. H. Christie, and J. J. Wortman, Rapid thermal and pulsed laser annealing of boron fluoride???implanted silicon, Journal of Applied Physics, vol.57, issue.8, p.2709, 1985.
DOI : 10.1063/1.335411

W. Nieh and L. J. Chen, ???implanted silicon, Applied Physics Letters, vol.48, issue.22, p.1528, 1986.
DOI : 10.1063/1.96857

V. C. Duffy, A. Venezia, T. W. Heringa, M. J. Husken, N. E. Hopstaken et al., Boron uphill diffusion during ultrashallow junction formation, Applied Physics Letters, vol.82, issue.21, p.3647, 2003.
DOI : 10.1063/1.1578512

S. Nishikawa and T. Yamaji, implantation, Applied Physics Letters, vol.62, issue.3, p.303, 1993.
DOI : 10.1063/1.108968

F. Lombardo, S. U. Priolo, S. Campisano, and . Lagomarsino, layers formed by high dose Ge implantation in (100) Si, Applied Physics Letters, vol.62, issue.19, p.2335, 1993.
DOI : 10.1063/1.109409

P. B. Mokhberi, J. D. Griffin, E. Plummer, S. Paton, K. Mccoy et al., A comparative study of dopant activation in boron, BF/sub 2/, arsenic, and phosphorus implanted silicon, IEEE Transactions on Electron Devices, vol.49, issue.7, p.1183, 2002.
DOI : 10.1109/TED.2002.1013274

J. Pawlak, R. Surdeanu, B. Colombeau, A. J. Smith, N. E. Cowern et al., Evidence on the mechanism of boron deactivation in Ge-preamorphized ultrashallow junctions, Applied Physics Letters, vol.84, issue.12, p.2055, 2004.
DOI : 10.1063/1.1682697

M. Masetti, S. Severi, and . Solmi, Modeling of carrier mobility against carrier concentration in arsenic-, phosphorus-, and boron-doped silicon, IEEE Transactions on Electron Devices, vol.30, issue.7, p.764, 1983.
DOI : 10.1109/T-ED.1983.21207

W. R. Thurber, R. L. Mattis, Y. M. Liu, and J. J. Filliben, The Relationship Between Resistivity and Dopant Density for Phosphorus? and Boron?Doped Silicon, of Commerce, National Bureau of Standards, 1981.
DOI : 10.6028/NBS.SP.400-64

F. Severac, F. Cristiano, E. Bedel?pereira, P. F. Fazzini, W. Lerch et al., Impact of boron-interstitial clusters on Hall scattering factor in high-dose boron-implanted ultrashallow junctions, Journal of Applied Physics, vol.105, issue.4, p.43711, 2009.
DOI : 10.1063/1.3079505

P. Blood and J. W. Orton, The electrical characterization of semiconductors: majority carriers and electron states, 1992.

F. Severac, F. Cristiano, E. Bedel?pereira, P. F. Fazzini, J. Boucher et al., Influence of boron-interstitials clusters on hole mobility degradation in high dose boron-implanted ultrashallow junctions, Journal of Applied Physics, vol.107, issue.12, p.123711, 2010.
DOI : 10.1063/1.3446844

L. Aboy, P. Pelaz, E. Lo-pez, S. Bruno, and . Mirabella, Atomistic analysis of B clustering and mobility degradation in highly B-doped junctions, International Journal of Numerical Modelling: Electronic Networks, Devices and Fields, vol.253, issue.12, p.266, 2010.
DOI : 10.1002/jnm.737

M. Hytch, J. ?. Putaux, and J. ?. Pénisson, Measurement of the displacement field of dislocations to 0.03????? by electron microscopy, Nature, vol.50, issue.6937, p.270, 2003.
DOI : 10.1088/0953-8984/12/49/334

URL : https://hal.archives-ouvertes.fr/hal-00306927

F. Gault, A. Vurpillot, M. Vella, A. Gilbert, D. Menand et al., Design of a femtosecond laser assisted tomographic atom probe, Review of Scientific Instruments, vol.77, issue.4, p.43705, 2006.
DOI : 10.1063/1.2194089

M. Ngamo, S. Duguay, F. Cristiano, K. Daoud?ketata, and P. Pareige, Atomic scale study of boron interstitial clusters in ion-implanted silicon, Journal of Applied Physics, vol.105, issue.10, p.104904, 2009.
DOI : 10.1063/1.3126498

O. Cojocaru?mirédin, D. Mangelinck, and D. Blavette, Nucleation of boron clusters in implanted silicon, Journal of Applied Physics, vol.106, issue.11, p.113525, 2009.
DOI : 10.1063/1.3265998

D. Salvador, E. Napolitani, G. Bisognin, A. Carnera, E. Bruno et al., Experimental evidences for two paths in the dissolution process of B clusters in crystalline Si, Applied Physics Letters, vol.87, issue.22, p.221902, 2005.
DOI : 10.1063/1.2126128

L. Aboy, E. Pelaz, S. Bruno, S. Mirabella, and . Boninelli, Kinetics of large B clusters in crystalline and preamorphized silicon, Journal of Applied Physics, vol.110, issue.7, p.73524, 2011.
DOI : 10.1063/1.3639280

J. W. Schmelzer, J. Schmelzer, and I. Gutzow, Reconciling Gibbs and van der Waals: A new approach to nucleation theory, The Journal of Chemical Physics, vol.112, issue.8, p.3820, 2000.
DOI : 10.1063/1.481595

T. Philippe and D. Blavette, 4606?4620 precipitation, i.e. in Germanium, J. of Chem. Phys. Blavette, Phil. Mag, vol.135, issue.91, pp.134508-134509, 2011.

T. Fiory and K. K. Bourdelle, Electrical activation kinetics for shallow boron implants in silicon, Applied Physics Letters, vol.74, issue.18, p.2658, 1999.
DOI : 10.1063/1.123929

K. Weste and . Eshragian, Principles of CMOS VLSI Design: A Systems Perspective, 1988.

E. Thompson and S. Parthasarathy, Moore's law: the future of Si microelectronics, Materials Today, vol.9, issue.6, pp.20-25, 2006.
DOI : 10.1016/S1369-7021(06)71539-5

P. Colinge and . Technology, Materials to VLSI, 2004.

J. J. Hamilton, N. E. Cowern, E. J. Collart, B. Colombeau, M. Bersani et al., Diffusion and activation of ultrashallow B implants in silicon on insulator: End-of-range defect dissolution and the buried Si???SiO2 interface, Applied Physics Letters, vol.89, issue.4, p.42111, 2006.
DOI : 10.1063/1.2240257

V. Fischetti and S. E. Laux, Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys, Journal of Applied Physics, vol.80, issue.4, p.2234, 1996.
DOI : 10.1063/1.363052

Y. Kamata, High-k/Ge MOSFETs for future nanoelectronics, Materials Today, vol.11, issue.1-2, pp.30-38, 2008.
DOI : 10.1016/S1369-7021(07)70350-4

W. Lerch, S. Paul, J. Niess, F. Cristiano, Y. Lamrani et al., Deactivation of Solid Phase Epitaxy-Activated Boron Ultrashallow Junctions, Journal of The Electrochemical Society, vol.152, issue.10, pp.787-793, 2005.
DOI : 10.1149/1.2018176

A. Camillo?castillo, M. E. Law, K. S. Jones, R. Lindsay, K. Maex et al., Application of flash-assist rapid thermal processing subsequent to low-temperature furnace anneals, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.1, p.450, 2006.
DOI : 10.1116/1.2140003

B. J. Pawlak, T. Janssens, B. Brijs, W. Vandervorst, E. J. Collart et al., Effect of amorphization and carbon co-doping on activation and diffusion of boron in silicon, Applied Physics Letters, vol.89, issue.6, p.62110, 2006.
DOI : 10.1063/1.2227863

Y. S. Moroz, D. Oh, H. Pramanik, M. A. Graoui, and . Foad, Optimizing boron junctions through point defect and stress engineering using carbon and germanium co-implants, Applied Physics Letters, vol.87, issue.5, p.51908, 2005.
DOI : 10.1063/1.2001163

C. H. Poon, A. See, Y. L. Tan, M. Zhou, D. Gui et al., Effect of Germanium Preamorphization Implant on Boron Deactivation in Silicon Following Multiple-Pulse Flash Annealing, Electrochemical and Solid-State Letters, vol.10, issue.12, pp.362-403, 2006.
DOI : 10.1149/1.2791945

W. Lerch, S. Paul, J. Niess, S. Mccoy, J. Gelpey et al., Crystal Damage Removal by Spike and Flash Annealing, ECS Transactions, p.77, 2006.
DOI : 10.1149/1.2356266

N. Boninelli, A. Cherkashin, F. Claverie, and . Cristiano, Evidences of an intermediate rodlike defect during the transformation of {113} defects into dislocation loops, Applied Physics Letters, vol.89, issue.16, p.161904, 2006.
DOI : 10.1063/1.2361178

M. Diebel and S. T. Dunham, Calculations to Model Anomalous Fluorine Behavior, Physical Review Letters, vol.93, issue.24, p.245901, 2004.
DOI : 10.1103/PhysRevLett.93.245901

. Vuong, Boron pileup and clustering in silicon-on-insulator films, Applied Physics Letters, vol.75, issue.8, p.1083, 1999.
DOI : 10.1063/1.124604

M. Ogura and . Hiroi, Depth profiles of As and B implanted into Si-on-insulator substrates, Thin Solid Films, vol.397, issue.1-2, p.56, 2001.
DOI : 10.1016/S0040-6090(01)01478-X

N. E. Cowern, D. Alquier, M. Omri, A. Claverie, and A. Nejim, Transient enhanced diffusion in preamorphized silicon: the role of the surface, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.148, issue.1-4, p.257, 1999.
DOI : 10.1016/S0168-583X(98)00678-8

F. Lamrani, B. Cristiano, E. Colombeau, P. Scheid, H. Calvo et al., Direct evidence of the recombination of silicon interstitial atoms at the silicon surface, Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, vol.216, p.281, 2004.
DOI : 10.1016/j.nimb.2003.11.047

P. F. Fazzini, F. Cristiano, C. Dupré, A. Claverie, T. Ernst et al., Defect evolution after germanium preamorphization in silicon on insulator structures, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.26, issue.1, p.342, 2008.
DOI : 10.1116/1.2802099

M. Bazizi, P. F. Fazzini, F. Cristiano, A. Pakfar, C. Tavernier et al., Modeling of the effect of the buried Si???SiO2 interface on transient enhanced boron diffusion in silicon on insulator, Journal of Applied Physics, vol.107, issue.7, p.74503, 2010.
DOI : 10.1063/1.3369160

A. F. Saavedra, J. Frazer, K. S. Jones, I. Avci, S. K. Earles et al., Influence of the surface Si/buried oxide interface on extended defect evolution in silicon-on-insulator scaled to 300 ???, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.6, p.2243, 2002.
DOI : 10.1116/1.1517410

A. F. Saavedra, A. C. King, K. S. Jones, E. C. Jones, and K. K. Chan, Secondary defect formation in bonded silicon-on-insulator after boron implantation, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.1, p.459, 2004.
DOI : 10.1116/1.1640656

J. F. Ziegler, K. T. Cowern, G. F. Janssen, D. J. Van-de-walle, and . Gravesteijn, 1027 and www.srim.org 68 N, Nucl. Instr. Meth. B Phys. Rev. Lett, vol.219220, pp.65-2434, 1990.

J. Im, R. Washburn, N. W. Gronsky, K. M. Cheung, and . Yu, Defect control during solid phase epitaxial growth of SiGe alloy layers, Applied Physics Letters, vol.63, issue.7, p.929, 1993.
DOI : 10.1063/1.109847

F. Fazzini, F. Cristiano, E. Talbot, G. B. Assayag, S. Paul et al., Effect of Germanium content and strain on the formation of extended defects in ion implanted Silicon/Germanium, Thin Solid Films, vol.518, issue.9, p.2338, 2010.
DOI : 10.1016/j.tsf.2009.09.172

L. Martins and A. Zunger, Stability of Ordered Bulk and Epitaxial Semiconductor Alloys, Physical Review Letters, vol.56, issue.13, p.1400, 1986.
DOI : 10.1103/PhysRevLett.56.1400

C. Tetelin, X. Wallart, J. P. Nys, L. Vescan, and D. J. Gravesteijn, Kinetics and mechanism of low temperature atomic oxygen-assisted oxidation of SiGe layers, Journal of Applied Physics, vol.83, issue.5, p.2842, 1998.
DOI : 10.1063/1.367045

C. O. Chui, S. Ramanathan, B. B. Triplett, P. C. Mcintyre, and K. C. Saraswat, Germanium MOS capacitors incorporating ultrathin high-/spl kappa/ gate dielectric, IEEE Electron Device Letters, vol.23, issue.8, p.473, 2002.
DOI : 10.1109/LED.2002.801319

H. Werner, H. D. Mehrer, and . Hochheimer, Effect of hydrostatic pressure, temperature, and doping on self-diffusion in germanium, Physical Review B, vol.32, issue.6, p.3930, 1985.
DOI : 10.1103/PhysRevB.32.3930

. Laitinen, Layers, Physical Review Letters, vol.89, issue.8, p.85902, 2002.
DOI : 10.1103/PhysRevLett.89.085902

S. Brotzmann and H. Bracht, Intrinsic and extrinsic diffusion of phosphorus, arsenic, and antimony in germanium, Journal of Applied Physics, vol.103, issue.3, p.33508, 2008.
DOI : 10.1063/1.2837103

A. Satta, E. Simoen, T. Clarysse, T. Janssens, A. Benedetti et al., Diffusion, activation, and recrystallization of boron implanted in preamorphized and crystalline germanium, Applied Physics Letters, vol.87, issue.17, p.172109, 2005.
DOI : 10.1063/1.2117631

Y. L. Chao, S. Prussin, J. C. Woo, and R. Scholz, Preamorphization implantation-assisted boron activation in bulk germanium and germanium-on-insulator, Applied Physics Letters, vol.87, issue.14, p.142102, 2005.
DOI : 10.1063/1.2076440

C. R. Kagan, P. G. Andry, V. Lorito, S. Gonda, T. L. Liu et al., Thin?film transistors. Marcel Dekker Inc 14, Proc. of 2006 MIEL Int. Conf. (International Conference on Microelectronics), p.342, 2003.

G. Boninelli, A. Impellizzeri, F. Alberti, F. Priolo, C. Cristiano et al., Role of the Ge surface during the end of range dissolution, Applied Physics Letters, vol.101, issue.16, p.162103, 2012.
DOI : 10.1063/1.4759031

S. W. Kim, D. K. Bedell, and . Sadana, Multiple implantation and multiple annealing of phosphorus doped germanium to achieve n-type activation near the theoretical limit, Applied Physics Letters, vol.101, issue.11, p.112107, 2012.
DOI : 10.1063/1.4751845

E. M. Gavelle, E. Bazizi, P. F. Scheid, F. Fazzini, C. Cristiano et al., Detailed investigation of Ge???Si interdiffusion in the full range of Si1???xGex(0???x???1) composition, Journal of Applied Physics, vol.104, issue.11, p.113524, 2008.
DOI : 10.1063/1.3033378

S. Saks and A. K. Agarwal, Hall mobility and free electron density at the SiC/SiO2 interface in 4H???SiC, Applied Physics Letters, vol.77, issue.20, pp.3281-3283, 2000.
DOI : 10.1063/1.1326046

. Saks, Hall Effect Studies of Electron Mobility and Trapping at the SiC/SiO2 Interface, Appl. Phys. Lett, pp.387-410, 2000.
DOI : 10.1007/978-3-642-18870-1_16

S. T. Shen and . Pantelides, Identification of a major cause of endemically poor mobilities in SiC/SiO2 structures, Applied Physics Letters, vol.98, issue.5, p.53507, 2011.
DOI : 10.1063/1.3553786

J. Zhang, G. Zhang, J. H. Chua, R. E. Chee, E. H. Wong et al., DNA Sensing by Silicon Nanowire: Charge Layer Distance Dependence, Nano Letters, vol.8, issue.4, p.1066, 2008.
DOI : 10.1021/nl072991l

A. Colli, C. Fasoli, S. Ronning, S. Pisana, A. C. Piscanec et al., Ion Beam Doping of Silicon Nanowires, Nano Letters, vol.8, issue.8, p.2188, 2008.
DOI : 10.1021/nl080610d

L. Han, G. Larrieu, E. Dubois, and F. Cristiano, Carrier injection at silicide/silicon interfaces in nanowire based-nanocontacts, Surface Science, vol.606, issue.9-10, p.836, 2012.
DOI : 10.1016/j.susc.2012.01.021

URL : https://hal.archives-ouvertes.fr/hal-00787379