N. Prémillieu and A. Seznec, SPREPI : Selective Prediction and REplay for Predicated Instructions , Rapport de recherche RR-8351, 2013.

H. Akkary, S. T. Srinivasan, and K. Lai, Recycling waste, Proceedings of the 17th annual international conference on Supercomputing , ICS '03, p.1221, 2003.
DOI : 10.1145/782814.782819

S. Ahmed, V. K. Al-zawawi, E. Reddy, H. Rotenberg, and . Akkary, Transparent control independence (tci), ISCA, p.448459, 2007.

J. R. Allen, K. Kennedy, C. Portereld, and J. Warren, Conversion of control dependence to data dependence, Proceedings of the 10th ACM SIGACT-SIGPLAN symposium on Principles of programming languages , POPL '83, p.177189, 1983.
DOI : 10.1145/567067.567085

G. M. Amdahl, Validity of the single processor approach to achieving large scale computing capabilities, Proceedings of the April 18-20, 1967, spring joint computer conference on, AFIPS '67 (Spring), p.483485, 1967.
DOI : 10.1145/1465482.1465560

D. I. August, W. Wen-mei, S. A. Hwu, and . Mahlke, A framework for balancing control ow and predication, Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, p.92103, 1997.

T. Austin, E. Larson, and D. Ernst, SimpleScalar: an infrastructure for computer system modeling, Computer, vol.35, issue.2, p.5967, 2002.
DOI : 10.1109/2.982917

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, p.3917, 2011.
DOI : 10.1145/2024716.2024718

P. Chang, E. Hao, Y. N. Patt, and P. P. Chang, Using Predicated Execution to Improve the Performance of a Dynamically Scheduled Machine with Speculative Execution, International Journal of Parallel Programming, vol.11, issue.12, p.209234, 1996.
DOI : 10.1007/BF03356749

C. Cher and T. N. Vijaykumar, Skipper : a microarchitecture for exploiting controlow independence, MICRO, p.415, 2001.

W. Cheung, W. S. Evans, and J. Moses, Predicated Instructions for Code Compaction, SCOPES, p.1732, 2003.
DOI : 10.1007/978-3-540-39920-9_3

Z. George, J. S. Chrysos, and . Emer, Memory dependence prediction using store sets, ISCA '98 : Proceedings of the 25th annual international symposium on Computer architecture, p.142153, 1998.

W. Chuang and B. Calder, Predicate prediction for ecient out-of-order execution, Proceedings of the 17th annual international conference on Supercomputing, p.183192, 2003.

J. D. Collins, D. M. Tullsen, and H. Wang, Control ow optimization via dynamic reconvergence prediction, MICRO, p.129140, 2004.

R. Cytron, J. Ferrante, B. K. Rosen, M. N. Wegman, and F. K. Zadeck, Eciently computing static single assignment form and the control dependence graph, ACM Trans. Program. Lang. Syst, vol.13, issue.4, p.451490, 1991.

J. C. Dehnert, Y. Peter, J. P. Hsu, and . Bratt, Overlapped loop support in the cydra 5, SIGARCH Comput. Archit. News, vol.17, issue.2, p.2638, 1989.

K. Driesen and U. Hölzle, Accurate indirect branch prediction, Proceedings of the 25th annual international symposium on Computer architecture, p.167178, 1998.

A. Gandhi, H. Akkary, and S. T. Srinivasan, Reducing Branch Misprediction Penalty via Selective Branch Recovery, 10th International Symposium on High Performance Computer Architecture (HPCA'04), p.254264, 2004.
DOI : 10.1109/HPCA.2004.10004

G. Hamerly, E. Perelman, J. Lau, and B. Calder, Simpoint 3.0 : Faster and more exible program phase analysis, Journal of Instruction Level Parallelism, vol.7, 2005.

L. John, D. A. Hennessy, and . Patterson, Computer Architecture, Fourth Edition : A Quantitative Approach, 2006.

J. Henry-hesson, J. Leblanc, and S. J. Ciavaglia, Apparatus to dynamically control the out-of-order execution of load/store instructions in a processor capable of dispatching , issuing and executing multiple instructions in a single processor cycle, US Patent, vol.5, p.615350, 1997.

D. Andrew, A. Hilton, and . Roth, Ginger : control independence using tag rewriting, ISCA, p.436447, 2007.

I. Corp, Intel itanium architecture software developer's manual Instruction set reference, 2002.

E. Jacobsen, E. Rotenberg, and J. E. Smith, Assigning condence to conditional branch predictions, MICRO 29 : Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture, p.142152, 1996.

S. Jourdan, P. Sainrat, and D. Litaize, Exploring congurations of functional units in an out-of-order superscalar processor, ISCA, p.117125, 1995.

R. E. Kessler, The Alpha 21264 microprocessor, IEEE Micro, vol.19, issue.2, p.2436, 1999.
DOI : 10.1109/40.755465

H. Kim, J. A. Joao, O. Mutlu, and Y. N. Patt, Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), p.5364, 2006.
DOI : 10.1109/MICRO.2006.20

H. Kim, O. Mutlu, J. Stark, and Y. N. Patt, Wish branches : Combining conditional branching and predication for adaptive predicated execution, Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, p.4354, 2005.

M. Lam, Software pipelining : an eective scheduling technique for vliw machines, SIGPLAN Not, vol.23, issue.7, p.318328, 1988.

C. Lee, H. Kim, O. Mutlu, and Y. N. Patt, Performance-aware speculation control using wrong path usefulness prediction, 2008 IEEE 14th International Symposium on High Performance Computer Architecture, p.3949, 2008.
DOI : 10.1109/HPCA.2008.4658626

C. Lee, M. Potkonjak, and W. H. Mangione-smith, Mediabench : a tool for evaluating and synthesizing multimedia and communicatons systems, Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, p.330335, 1997.

A. Scott, R. E. Mahlke, R. A. Hank, J. C. Bringmann, D. M. Gyllenhaal et al., Characterizing the impact of predicated execution on branch prediction, Proceedings of the 27th annual international symposium on Microarchitecture, p.217227, 1994.

. Hwu, A comparison of full and partial predicated execution support for ilp processors, Proceedings of the 22nd annual international symposium on Computer architecture, ISCA '95, p.138150

A. Scott, D. C. Mahlke, W. Y. Lin, R. E. Chen, R. A. Hank et al., Eective compiler support for predicated execution using the hyperblock, Proceedings of the 25th annual international symposium on Microarchitecture, p.4554, 1992.

E. J. Mclellan and D. A. Webb, The Alpha 21264 microprocessor architecture, ICCD '98 : Proceedings of the International Conference on Computer Design, p.90, 1998.

A. Moshovos and G. S. Sohi, Streamlining inter-operation memory communication via data dependence prediction, Proceedings of 30th Annual International Symposium on Microarchitecture, p.235245, 1997.
DOI : 10.1109/MICRO.1997.645814

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.153.5466

D. N. Pnevmatikatos and G. S. Sohi, Guarded execution and branch prediction in dynamic ilp processors, Proceedings of the 21st annual international symposium on Computer architecture, ISCA '94, p.120129, 1994.

N. Premillieu and A. Seznec, SYRANT: SYmmetric resource allocation on not-taken and taken paths, ACM Transactions on Architecture and Code Optimization, vol.8, issue.4, pp.43-14320, 2012.
DOI : 10.1145/2086696.2086722

URL : https://hal.archives-ouvertes.fr/inria-00539647

E. Quiñones, J. Parcerisa, and A. Gonzalez, Selective predicate prediction for out-of-order processors, Proceedings of the 20th annual international conference on Supercomputing , ICS '06, p.4654, 2006.
DOI : 10.1145/1183401.1183410

E. Quiñones, J. Parcerisa, and A. González, Improving Branch Prediction and Predicated Execution in Out-of-Order Processors, 2007 IEEE 13th International Symposium on High Performance Computer Architecture, p.7584, 2007.
DOI : 10.1109/HPCA.2007.346186

B. R. Rau and C. D. Glaeser, Some scheduling techniques and an easily schedulable horizontal architecture for high performance scientic computing, Proceedings of the 14th annual workshop on Microprogramming, p.183198, 1981.

E. Rotenberg, Q. Jacobson, Y. Sazeides, and J. E. Smith, Trace processors, Proceedings of 30th Annual International Symposium on Microarchitecture, p.138148, 1997.
DOI : 10.1109/MICRO.1997.645805

E. Rotenberg, Q. Jacobson, and J. E. Smith, A study of control independence in superscalar processors, Proceedings Fifth International Symposium on High-Performance Computer Architecture, p.115124, 1999.
DOI : 10.1109/HPCA.1999.744346

A. Roth and G. S. Sohi, Register integration, Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture , MICRO 33, p.223234, 2000.
DOI : 10.1145/360128.360151

J. Ruttenberg, G. R. Gao, A. Stoutchinin, and W. Lichtenstein, Software pipelining showdown : optimal vs. heuristic methods in a production compiler, SIGPLAN Not, issue.5, pp.311-322, 1996.
DOI : 10.1145/249069.231385

M. S. Schlansker and B. R. Rau, EPIC: Explicitly Parallel Instruction Computing, Computer, vol.33, issue.2, p.3745, 2000.
DOI : 10.1109/2.820037

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.24.5663

A. Seznec, A 64-Kbytes ITTAGE indirect branch predictor, JWAC-2 : Championship Branch Prediction, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00639041

A. Seznec, A new case for the TAGE branch predictor, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, p.117127, 2011.
DOI : 10.1145/2155620.2155635

URL : https://hal.archives-ouvertes.fr/hal-00639193

A. Seznec, Storage free condence estimation for the tage branch predictor, Proceedings of the 17th International Symposium on High-Performance Computer Architecture, p.443454, 2011.

A. Seznec, The L-TAGE branch predictor, Journal of Instruction Level Parallelism, 2007.

A. Seznec, A 64 kbytes ISL-TAGE branch predictor, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00639040

A. Seznec and P. Michaud, A case for (partially) tagged geometric history length branch prediction, Journal of Instruction Level Parallelism, 2006.

B. Simon, B. Calder, and J. Ferrante, Incorporating predicate information into branch predictors, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings., p.5364, 2003.
DOI : 10.1109/HPCA.2003.1183524

URL : http://cadal.cse.nsysu.edu.tw/seminar/seminar_file/2006/060403_cchu_paper.pdf

E. James, G. S. Smith, and . Sohi, The microarchitecture of superscalar processors, Proceedings of the IEEE, p.16091624, 1995.

A. Sodani and G. S. Sohi, Dynamic instruction reuse, ISCA, p.194205, 1997.
DOI : 10.1145/384286.264200

R. M. Tomasulo, An ecient algorithm for exploiting multiple arithmetic units, IBM J. Res. Dev, vol.11, issue.1, p.2533, 1967.

H. Vandierendonck and A. Seznec, Speculative return address stack management revisited, ACM Transactions on Architecture and Code Optimization, vol.5, issue.3, p.20, 2008.
DOI : 10.1145/1455650.1455654

P. H. Wang, H. Wang, R. Kling, K. Ramakrishnan, and J. P. Shen, Register renaming and scheduling for dynamic execution of predicated code, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, p.1525, 2001.
DOI : 10.1109/HPCA.2001.903248

N. J. Warter, D. M. Lavery, W. , and W. Hwu, The benet of predicated execution for software pipelining, Proceedings of the 26th Annual Hawaii International Conference on System Sciences, p.497506, 1993.

N. J. Warter, S. A. Mahlke, W. Wen-mei, B. Hwu, and . Rau, Reverse ifconversion, Proceedings of the ACM SIGPLAN 1993 conference on Programming language design and implementation, p.290299, 1993.

T. Wolf and M. Franklin, CommBench-a telecommunications benchmark for network processors, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422), p.154162, 2000.
DOI : 10.1109/ISPASS.2000.842295