A Polynomial Based Approach to Wakeup Time and Energy Estimation in Power-Gated Logic Clusters, Journal of Low Power Electronics, vol.7, issue.4, pp.482-489, 2011. ,
DOI : 10.1166/jolpe.2011.1159
URL : https://hal.archives-ouvertes.fr/hal-00747721
Wakeup Time and Wakeup Energy Estimation in Power-Gated Logic Clusters, 2011 24th Internatioal Conference on VLSI Design, pp.340-345, 2011. ,
DOI : 10.1109/VLSID.2011.18
A semiempirical model for wakeup time estimation in power-gated logic clusters, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, pp.2-7, 2012. ,
DOI : 10.1145/2228360.2228371
URL : https://hal.archives-ouvertes.fr/hal-00752606
System-Level Synthesis of Ultra Low Power Wireless Sensor Network Node Controllers: A Complete Design Flow, 2010. ,
URL : https://hal.archives-ouvertes.fr/tel-00553143
Low-Invasive Implantable Devices of Low-Power Consumption Using High-Efficiency Antennas for Cloud Health Care, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.2, issue.1, pp.14-23, 2012. ,
DOI : 10.1109/JETCAS.2012.2187469
Telos: enabling ultra-low power wireless research, IPSN 2005. Fourth International Symposium on Information Processing in Sensor Networks, 2005., pp.364-369, 2005. ,
DOI : 10.1109/IPSN.2005.1440950
BTnodes -a distributed platform for sensor nodes, Proceedings of the 1st ACM International Conference on Embedded Networked Sensor Systems, pp.292-293, 2003. ,
An Accelerator-Based Wireless Sensor Network Processor in 130 nm CMOS, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.1, issue.2, pp.193-202, 2011. ,
DOI : 10.1109/JETCAS.2011.2160751
The Phoenix processor: A 30pW platform for sensor applications, Proceedings of the IEEE Symposium on VLSI Circuits, pp.188-189, 2008. ,
A Power-Managed Protocol Processor for Wireless Sensor Networks, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers., pp.212-213, 2006. ,
DOI : 10.1109/VLSIC.2006.1705385
Low-Energy FPGAs -Architecture and Design, 2001. ,
DOI : 10.1007/978-1-4615-1421-3
Architecture and CAD for Deep-Submicron FPGAs, 1999. ,
DOI : 10.1007/978-1-4615-5145-4
Sensebench: toward an accurate evaluation of sensor network processors, IEEE International. 2005 Proceedings of the IEEE Workload Characterization Symposium, 2005., pp.197-203, 2005. ,
DOI : 10.1109/IISWC.2005.1526017
Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits, Proceedings of the IEEE, vol.91, issue.2, pp.305-327, 2003. ,
DOI : 10.1109/JPROC.2002.808156
A Regular Layout for Parallel Adders, IEEE Transactions on Computers, vol.31, issue.3, pp.144-147, 1982. ,
DOI : 10.1109/TC.1982.1675982
A Parallel Algorithm for the Efficient Solution of a General Class of Recurrence Equations, IEEE Transactions on Computers, vol.22, issue.8 ,
DOI : 10.1109/TC.1973.5009159
Gedanken-Experiments on Sequential Machines, Automata Studies, pp.129-153, 1956. ,
DOI : 10.1515/9781400882618-006
Method for synthesizing sequential circuits, Bell System Technical Journal, vol.34, pp.1045-1079, 1955. ,
The challenges of implementing fine-grained power gating, Proceedings of the 20th symposium on Great lakes symposium on VLSI, GLSVLSI '10, p.361, 2010. ,
DOI : 10.1145/1785481.1785564
A semiempirical model for wakeup time estimation in power-gated logic clusters, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, pp.2-7, 2012. ,
DOI : 10.1145/2228360.2228371
URL : https://hal.archives-ouvertes.fr/hal-00752606
Wireless sensor networks: a survey, Computer Networks, vol.38, issue.4, pp.393-422, 2002. ,
DOI : 10.1016/S1389-1286(01)00302-4
Cramming more components onto integrated circuits International Technology Roadmap for Semiconductors: 2011 Overall Roadmap Technology Characteristics Tables, Electronics Magazine, vol.38, issue.8, 1965. ,
Low Power Design Essentials, 2009. ,
DOI : 10.1007/978-0-387-71713-5
Voltage and Temperature Aware Statistical Leakage Analysis Framework Using Artificial Neural Networks, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.29, issue.7, pp.1056-1068, 2010. ,
DOI : 10.1109/TCAD.2010.2049059
Fundamentals of Modern VLSI Devices, 2009. ,
Clock-gating and its application to low power design of sequential circuits, Proceedings of CICC 97, Custom Integrated Circuits Conference, pp.415-420, 2000. ,
DOI : 10.1109/CICC.1997.606671
VLSI Digital Signal Processing Systems: Design and Implementation, 1999. ,
Short circuit energy dissipation modeling for submicrometer CMOS gates IEEE Transactions on Circuits and Systems -I: Fundamental Theory and Applications, pp.1350-1361, 2000. ,
Ultralow-Power Design in Near-Threshold Region, Proceedings of the IEEE, pp.237-252, 2010. ,
DOI : 10.1109/JPROC.2009.2035453
Flexible Circuits and Architectures for Ultralow Power, Proceedings of the IEEE, vol.98, issue.2, pp.267-282, 2010. ,
DOI : 10.1109/JPROC.2009.2037211
A 65 nm Sub-<formula formulatype="inline"><tex Notation="TeX">$V_{t}$</tex> </formula> Microcontroller With Integrated SRAM and Switched Capacitor DC-DC Converter, IEEE Journal of Solid-State Circuits, vol.44, issue.1, pp.115-126, 2009. ,
DOI : 10.1109/JSSC.2008.2007160
Sub-threshold Design for Ultra Low-Power Systems, 2006. ,
Low Power Methodology Manual for System-on-Chip Design, 2008. ,
A 1-V high-speed MTCMOS circuit scheme for power-down application circuits, IEEE Journal of Solid-State Circuits, vol.32, issue.6, pp.861-869, 1997. ,
DOI : 10.1109/4.585288
Energy parsimonious circuit design through probabilistic pruning, 2011 Design, Automation & Test in Europe, pp.764-769, 2011. ,
DOI : 10.1109/DATE.2011.5763130
Design of optimized fixed-point WCDMA receiver, Proceedings of the XVII European Signal and Image Processing Conference, pp.993-997, 2009. ,
URL : https://hal.archives-ouvertes.fr/inria-00432581
Methodology for Power Analysis, 2006. ,
Low-power CMOS wireless MEMS motion sensor for physiological activity monitoring, IEEE Transactions on Circuits and Systems -I: Regular Papers, pp.2539-2551, 2005. ,
DOI : 10.1109/TCSI.2005.857772
Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.605-609, 2007. ,
DOI : 10.1109/TVLSI.2007.896912
A complete design-flow for the generation of ultra low-power WSN node architectures based on micro-tasking, Proceedings of the 47th Design Automation Conference on, DAC '10, 2010. ,
DOI : 10.1145/1837274.1837450
URL : https://hal.archives-ouvertes.fr/inria-00554202
Silicon Platforms for the Next Generation Wireless Systems ??? What Role Does Reconfigurable Hardware Play?, Lecture Notes in Computer Science, vol.1896, pp.277-285, 2000. ,
DOI : 10.1007/3-540-44614-1_31
TAD-MAC: Traffic-aware dynamic MAC protocol for wireless body sensor networks, IEEE Journal on Emerging and Selected Topics in Circuits and Systems, vol.2, issue.1, pp.109-119, 2012. ,
Low-power design of 8-b embedded CoolRisc microcontroller cores, IEEE Journal of Solid-State Circuits, vol.32, issue.7, pp.1067-1078, 1997. ,
DOI : 10.1109/4.597297
Xtensa customizable processors, 2012. ,
Intermediate representations for controllers in chip generators, 2011 Design, Automation & Test in Europe, pp.1-6, 2011. ,
DOI : 10.1109/DATE.2011.5763225
The openMSP430 User Guide, 2009. ,
MOZAIC: Plate-forme générique de modélisation et de conception d'architectures reconfigurables dynamiquement, 2008. ,
The VTR project, Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays, FPGA '12, pp.77-86, 2012. ,
DOI : 10.1145/2145694.2145708
The price of routing in FPGAs, 1999. ,
URL : https://hal.archives-ouvertes.fr/inria-00072889
Low-Power Design of Nanometer FPGAs, 2010. ,
Power estimation techniques for FPGAs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1015-1027, 2004. ,
DOI : 10.1109/TVLSI.2004.831478
A Polynomial Based Approach to Wakeup Time and Energy Estimation in Power-Gated Logic Clusters, Journal of Low Power Electronics, vol.7, issue.4, pp.482-489, 2011. ,
DOI : 10.1166/jolpe.2011.1159
URL : https://hal.archives-ouvertes.fr/hal-00747721
A Flexible Power Model for FPGAs, Proceedings of the ACM International Symposium on Field Programmable Gate Arrays, pp.312-321, 2002. ,
DOI : 10.1007/3-540-46117-5_33
On the NP-completeness of regular 2-D FPGA routing architectures and a novel solution, IEEE/ACM International Conference on Computer-Aided Design, pp.362-366, 1994. ,
FPGA power reduction using configurable dual-Vdd, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.735-740, 2004. ,
DOI : 10.1145/996566.996767
A Low-Power FPGA Based on Autonomous Fine-Grain Power Gating, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1394-1406, 2011. ,
DOI : 10.1109/TVLSI.2010.2050500
Reconfigurable models of finite state machines and their implementation in FPGAs, Journal of Systems Architecture, vol.47, issue.14-15, 2002. ,
DOI : 10.1016/S1383-7621(02)00067-X
Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas, IEEE Journal of Solid-State Circuits, vol.25, issue.2, pp.584-594, 1990. ,
DOI : 10.1109/4.52187
A leakage reduction methodology for distributed MTCMOS, IEEE Journal of Solid-State Circuits, vol.39, issue.5, pp.818-826, 2004. ,
DOI : 10.1109/JSSC.2004.826335
Dynamic and leakage power reduction in MTCMOS circuits using an automated gate clustering technique, Proceedings of the 39th ACM/IEEE Design Automation Conference, pp.480-485, 2002. ,
Distributed sleep transistor network for power reduction, Proceedings of the 40th conference on Design automation , DAC '03, pp.937-946, 2004. ,
DOI : 10.1145/775832.775879
Challenges in sleep transistor design and implementation in low-power designs, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.113-116, 2006. ,
DOI : 10.1145/1146909.1146943
Pattern independent maximum current estimation in power and ground buses of CMOS VLSI circuits: Algorithms, signal correlations, and their resolution, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.14, issue.8, pp.998-1012, 1995. ,
DOI : 10.1109/43.402499
Reducing Ground-Bounce Noise and Stabilizing the Data-Retention Voltage of Power-Gating Structures, IEEE Transactions on Electron Devices, vol.55, issue.1, pp.197-205, 2008. ,
DOI : 10.1109/TED.2007.911067
Physical design methodology of power gating circuits for standard-cell-based design, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.109-112, 2006. ,
DOI : 10.1145/1146909.1146942
<formula formulatype="inline"><tex Notation="TeX">${\ssr HLS}\hbox{-}{\ssr pg}$</tex></formula>: High-Level Synthesis of Power-Gated Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.3, pp.451-456, 2009. ,
DOI : 10.1109/TCAD.2009.2013283
A Framework for Power-Gating Functional Units in Embedded Microprocessors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.1640-1649, 2009. ,
DOI : 10.1109/TVLSI.2008.2005774
Row-based powergating: A novel sleep transistor insertion methodology for leakage power optimization in nanometer CMOS circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.469-482, 2011. ,
Comprehensive Analysis and Control of Design Parameters for Power Gated Circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.494-498, 2011. ,
DOI : 10.1109/TVLSI.2009.2033700
Layout and parasitic information for ISCAS circuits, 2004. ,
An effective power mode transition technique in MTCMOS circuits, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.27-32, 2005. ,
DOI : 10.1145/1065579.1065594
Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating, IEEE Transactions on Very BIBLIOGRAPHY Large Scale Integration (VLSI) Systems, pp.1215-1224, 2007. ,
DOI : 10.1109/TVLSI.2007.904101
Dynamic Characteristics of Power Gating During Mode Transition, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.237-249, 2011. ,
DOI : 10.1109/TVLSI.2009.2033699
State-Retentive Power Gating of Register Files in Multicore Processors Featuring Multithreaded In-Order Cores, IEEE Transactions on Computers, vol.60, issue.11, pp.1547-1560, 2011. ,
DOI : 10.1109/TC.2010.249
Wakeup Time and Wakeup Energy Estimation in Power-Gated Logic Clusters, 2011 24th Internatioal Conference on VLSI Design, pp.340-345, 2011. ,
DOI : 10.1109/VLSID.2011.18
A Constant-Mobility Method to Enable MOSFET Series-Resistance Extraction, IEEE Electron Device Letters, vol.28, issue.12, pp.1132-1134, 2007. ,
DOI : 10.1109/LED.2007.909850
Microarchitectural techniques for power gating of execution units, Proceedings of the 2004 international symposium on Low power electronics and design , ISLPED '04, pp.32-37, 2004. ,
DOI : 10.1145/1013235.1013249
Synthesis of Active-Mode Power-Gating Circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.31, issue.3, pp.391-403, 2012. ,
DOI : 10.1109/TCAD.2011.2171963
Low-Power and Area-Efficient Carry Select Adder, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.371-375, 2012. ,
DOI : 10.1109/TVLSI.2010.2101621
Algorithmic methodologies for ultra-efficient inexact architectures for sustaining technology scaling, Proceedings of the 9th conference on Computing Frontiers, CF '12, pp.15-17, 2012. ,
DOI : 10.1145/2212908.2212912
Configurable multimode embedded floatingpoint units for FPGAs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.2033-2044, 2011. ,
Hardware design of a Binary Integer Decimal-based floating-point adder, 2007 25th International Conference on Computer Design, pp.288-295, 2007. ,
DOI : 10.1109/ICCD.2007.4601915
A 90mW/GFlop 3.4GHz reconfigurable fused/continuous multiplyaccumulator for floating-point and integer operands in 65nm, 23rd International Conference on VLSI Design, pp.252-257, 2010. ,
Energy-Efficient Design Methodologies: High-Performance VLSI Adders, IEEE Journal of Solid-State Circuits, vol.45, issue.6, pp.1220-1233, 2010. ,
DOI : 10.1109/JSSC.2010.2048730
Decimal Floating-Point Adder and Multifunction Unit with Injection-Based Rounding, 18th IEEE Symposium on Computer Arithmetic (ARITH '07), pp.56-68, 2007. ,
DOI : 10.1109/ARITH.2007.13
High-level synthesis of multipleprecision circuits independent of data-objects length, Proceedings of the 39th Design Automation Conference, pp.612-615, 2002. ,
Leakage control in full adders with selectively stacked inverters, 2010 53rd IEEE International Midwest Symposium on Circuits and Systems, pp.833-836, 2010. ,
DOI : 10.1109/MWSCAS.2010.5548678
Probability-Based Optimal Sizing of Power-Gating Transistors in Full Adders for Reduced Leakage and High Performance, Journal of Low Power Electronics, vol.8, issue.4, pp.464-471, 2012. ,
DOI : 10.1166/jolpe.2012.1207
A Low-Leakage Twin-Precision Multiplier Using Reconfigurable Power Gating, 2005 IEEE International Symposium on Circuits and Systems, pp.1654-1657, 2005. ,
DOI : 10.1109/ISCAS.2005.1464922
Data-Width-Driven Power Gating of Integer Arithmetic Circuits, 2012 IEEE Computer Society Annual Symposium on VLSI, pp.19-21 ,
DOI : 10.1109/ISVLSI.2012.59
M × N booth encoded multiplier generator using optimized Wallace trees, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.120-125, 1993. ,
Digital Computer Arithmetic: Design and Implementation, 1984. ,
A symbolic analysis of relay and switching circuits, Transactions of AIEE, vol.57, pp.713-723, 1938. ,
An Approach for Low Power Design of Power Gated Finite State Machines Considering Partitioning and State Encoding Together, Journal of Low Power Electronics, vol.8, issue.4, pp.452-463, 2012. ,
DOI : 10.1166/jolpe.2012.1206
Integrated Power-Gating and State Assignment for Low Power FSM Synthesis, 2008 IEEE Computer Society Annual Symposium on VLSI, pp.269-274, 2008. ,
DOI : 10.1109/ISVLSI.2008.7
Fine-grained supply gating through hypergraph partitioning and Shannon decomposition for active power reduction, Proceedings of the Conference on Design, Automation and Test in Europe, pp.373-378, 2008. ,
The effect of LUT and cluster size on deep-submicron FPGA performance and density, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.288-298, 2004. ,
Linear Sequential Circuits -Analysis, Synthesis, and Applications, 1966. ,
Linearity of Sequential Machines, IEEE Transactions on Electronic Computers, vol.15, issue.3, pp.337-354, 1966. ,
DOI : 10.1109/PGEC.1966.264492
Properties of Linear Machines, Journal of the ACM, vol.11, issue.3, pp.296-301, 1964. ,
DOI : 10.1145/321229.321233
The Theory of Autonomous Linear Sequential Networks, IRE Transactions on Circuit Theory, vol.6, issue.1, pp.45-60, 1959. ,
DOI : 10.1109/TCT.1959.1086506
Exploring Very Low-Energy Logic: A Case Study, Journal of Low Power Electronics, vol.3, issue.3, pp.223-233, 2007. ,
DOI : 10.1166/jolpe.2007.136