L. Approches-de-coordination-entre-contrôleurs and .. , 40 3.3.2 Coordination sans négociation, p.42

.. Le-formalisme-des-automates-de-modes-pour-le-contrôle, 43 3.4.1 Définition formelle et sémantiques des automates de modes, p.46

. 14-variable-current_mode, Coordinator_modes:= Waiting; 15 variable nb_positive_responses:integer:=0; 16 variable nb_negative_responses:integer:=0; 17 variable involved_controllers: std_logic_vector( 0 to 1):=(others =>

.. Présentation-de-l-'étude-de-cas, 148 6.2.1 L'application, p.148

R. Et-scalabilité-du-modèle-de-contrôle-semi-distribué and .. , 162 6.5.1 Evaluation du modèle semi-distribué, p.163

C. Ababei, Y. Feng, B. Goplen, H. Mogal, T. Zhang et al., Placement and Routing in 3D Integrated Circuits, IEEE Design and Test of Computers, vol.22, issue.6, pp.22520-531, 2005.
DOI : 10.1109/MDT.2005.150

. Acceleo, Acceleo -transforming models into code, p.127

A. Deshmukh, F. Ponci, A. Monti, L. Cristaldi, R. Ottoboni et al., Multi Agent Systems: an Example of Dynamic Reconfiguration, 2006 IEEE Instrumentation and Measurement Technology Conference Proceedings, p.40, 2006.
DOI : 10.1109/IMTC.2006.328444

A. Akoglu, A. Sreeramareddy, and J. G. Josiah, FPGA based distributed self healing architecture for reusable systems, Cluster Computing, vol.6, issue.5, pp.269-284, 2009.
DOI : 10.1007/s10586-009-0082-2

A. Alexander, J. Cohoon, J. Colflesh, J. Karro, E. Peters et al., Placement and routing for three-dimensional fpgas, Fourth Canadian Workshop on Field-Programmable Devices, p.217, 1996.

. Altera, Increasing design functionality with partial and dynamic reconfiguration in 28-nm fpgas, p.19, 2010.

K. Altisen, A. Clodic, F. Maraninchi, and E. Rutten, Using Controller-Synthesis Techniques to Build Property-Enforcing Layers, Programming Languages and Systems, pp.174-188, 2003.
DOI : 10.1007/3-540-36575-3_13

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.102.2186

S. Andre, Mda (model driven architecture) principes et états de l'art, p.49, 2004.

D. Andrews, R. Sass, E. Anderson, J. Agron, W. Peck et al., The case for high level programming models for reconfigurable computers, Engineering of Reconfigurabe Systems and Algorithms (ERSA), p.35, 2006.

V. Aranega, A. Etien, and S. Mosser, Using Feature Model to Build Model Transformation Chains, Proceedings of the 15th international conference on Model Driven Engineering Languages and Systems, MODELS'12, pp.562-578, 2012.
DOI : 10.1007/978-3-642-33666-9_36

URL : https://hal.archives-ouvertes.fr/hal-00838532

R. B. Atitallah, Modèles et simulation des systèmes sur puce multiprocesseurs : estimation des performances et de la consommation d'énergie, p.58, 2008.

S. Bansal, 3d-ic design : The challenges of 2.5d versus 3d, p.18, 2011.

S. Bayar and A. , Dynamic partial self-reconfiguration on spartan-iii fpgas via a parallel configuration access port (pcap), 2nd HiPEAC workshop on Reconfigurable Computing, HiPEAC 08, p.20, 2008.

L. Besnard, H. Marchand, and E. Rutten, The Sigali Tool Box Environment, 2006 8th International Workshop on Discrete Event Systems, pp.465-466, 2006.
DOI : 10.1109/WODES.2006.382518

J. Bézivin, On the unification power of models. Software & Systems Modeling, pp.171-188, 2005.

B. Blodget, S. Mcmillan, and P. Lysaght, A lightweight approach for embedded reconfiguration of FPGAs, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.3-20, 2003.
DOI : 10.1109/DATE.2003.1253642

B. Blodget, S. Mcmillan, and P. Lysaght, A lightweight approach for embedded reconfiguration of FPGAs, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.3-33, 2003.
DOI : 10.1109/DATE.2003.1253642

C. Bobda, M. Majer, D. Koch, A. Ahmadinia, and J. Teich, A Dynamic NoC Approach for Communication in Reconfigurable Devices, Field Programmable Logic and Application, pp.1032-1036, 2004.
DOI : 10.1007/978-3-540-30117-2_121

C. Bolchini, L. Fossati, D. Codinachs, A. Miele, and C. Sandionigi, A Reliable Reconfiguration Controller for Fault-Tolerant Embedded Systems on Multi-FPGA Platforms, 2010 IEEE 25th International Symposium on Defect and Fault Tolerance in VLSI Systems, pp.191-199, 2010.
DOI : 10.1109/DFT.2010.30

E. Canto, F. Fons, and M. Lopez, Reconfigurable opb coprocessors for a microblaze selfreconfigurable soc mapped on spartan-3 fpgas, 32nd Annual Conference on IEEE Industrial Electronics (IECON), pp.4940-4944, 2006.

E. Carvalho, N. Calazans, F. Moraes, and D. Mesquita, Reconfiguration control for dynamically reconfigurable systems, DCI'04, pp.405-410, 2004.

S. Chiricescu, M. Leeser, and M. Vai, Design and analysis of a dynamically reconfigurable three-dimensional FPGA, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.186-196, 2001.
DOI : 10.1109/92.920832

E. M. Clarke, E. A. Emerson, and A. P. Sistla, Automatic verification of finite-state concurrent systems using temporal logic specifications, ACM Transactions on Programming Languages and Systems, vol.8, issue.2, pp.244-263, 1986.
DOI : 10.1145/5397.5399

C. Claus, R. Ahmed, F. Altenried, and W. Stechele, Towards rapid dynamic partial reconfigurationin video-based driver assistance systems, 6th Internation Symposium of Reconfigurable Computing : Architectures, Tools and Applications, pp.55-67, 2010.

C. Claus, F. H. Müller, J. Zeppenfeld, and W. Stechele, A new framework to accelerate Virtex-II Pro dynamic partial self-reconfiguration, 2007 IEEE International Parallel and Distributed Processing Symposium, pp.1-7, 2007.
DOI : 10.1109/IPDPS.2007.370362

C. Claus, J. Zeppenfeld, F. Muller, and W. Stechele, Using Partial-Run-Time Reconfigurable Hardware to accelerate Video Processing in Driver Assistance System, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1-6, 2007.
DOI : 10.1109/DATE.2007.364642

C. Claus, B. Zhang, W. Stechele, L. Braun, M. Hubner et al., A multi-platform controller allowing for maximum Dynamic Partial Reconfiguration throughput, 2008 International Conference on Field Programmable Logic and Applications, pp.535-539, 2008.
DOI : 10.1109/FPL.2008.4630002

A. Cuoccio, P. R. Grassi, V. Rana, M. D. Santambrogio, and D. Sciuto, A Generation Flow for Self-Reconfiguration Controllers Customization, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008), pp.279-284, 2008.
DOI : 10.1109/DELTA.2008.35

K. Danne, Operating systems for fpga based computers and their memory management, ARCS Organic and Pervasive Computing, Workshop Proceedings, volume P-41 of GI-Edition Lecture, p.35, 2004.

G. Delaval, H. Marchand, and E. Rutten, Contracts for modular discrete controller synthesis, ACM SIGPLAN Notices, vol.45, issue.4, pp.57-66, 2010.
DOI : 10.1145/1755951.1755898

URL : https://hal.archives-ouvertes.fr/inria-00436560

L. Devaux, S. B. Sassi, S. Pillement, D. Chillet, and D. Demigny, Flexible interconnection network for dynamically and partially reconfigurable architectures, International Journal of Reconfigurable Computing, issue.6, p.35, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00437763

M. Dias and A. Stentz, Enhanced negotiation and opportunistic optimization for marketbased multirobot coordination, p.38, 2002.

M. Dias and A. Stentz, Traderbots : A market-based approach for resource, role, and task allocation in multirobot coordination, p.38, 2003.

J. Diguet, Y. Eustache, and G. Gogniat, Closed-loop--based self-adaptive Hardware/Software-Embedded systems, ACM Transactions on Embedded Computing Systems, vol.10, issue.3
DOI : 10.1145/1952522.1952531

P. Dorsey, Xilinx stacked silicon interconnect technology delivers breakthrough fpga capacity, bandwidth, and power efficiency, p.7

V. G. Douros, G. C. Polyzos, and S. Toumpis, Negotiation-Based Distributed Power Control in Wireless Networks with Autonomous Nodes, 2011 IEEE 73rd Vehicular Technology Conference (VTC Spring), pp.1-5, 2011.
DOI : 10.1109/VETECS.2011.5956443

Y. Du, C. Jiang, and M. Zhou, A Petri Net-Based Model for Verification of Obligations and Accountability in Cooperative Systems, Part A : Systems and Humans, pp.299-308, 2009.
DOI : 10.1109/TSMCA.2008.2010751

Y. Eustache and J. Diguet, Specification and OS-based implementation of self-adaptive, hardware/software embedded systems, Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, CODES/ISSS '08, pp.67-72, 2008.
DOI : 10.1145/1450135.1450151

URL : https://hal.archives-ouvertes.fr/hal-00369071

M. Faugere, T. Bourbeau, R. D. Simone, and S. Gerard, MARTE: Also an UML Profile for Modeling AADL Applications, 12th IEEE International Conference on Engineering Complex Computer Systems (ICECCS 2007), pp.359-364, 2007.
DOI : 10.1109/ICECCS.2007.29

A. Gamatie, S. L. Beux, E. Piel, R. B. Atitallah, A. Etien et al., A Model-Driven Design Framework for Massively Parallel Embedded Systems, ACM Transactions on Embedded Computing Systems, vol.10, issue.4, pp.47-61, 2011.
DOI : 10.1145/2043662.2043663

URL : https://hal.archives-ouvertes.fr/inria-00637595

P. R. Guide, Ug702 (v14.3), Xilinx Inc, p.218, 2012.

S. Guillet, F. De-lamotte, N. L. Griguer, E. Rutten, G. Gogniat et al., Designing formal reconfiguration control using UML/MARTE, 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), pp.1-8
DOI : 10.1109/ReCoSoC.2012.6322870

URL : https://hal.archives-ouvertes.fr/hal-00747716

S. Guillet, F. De-lamotte, E. Rutten, G. Gogniat, and J. Diguet, Modeling and Formal Control of Partial Dynamic Reconfiguration, 2010 International Conference on Reconfigurable Computing and FPGAs, pp.31-36, 2010.
DOI : 10.1109/ReConFig.2010.56

URL : https://hal.archives-ouvertes.fr/hal-00663437

H. Yu, A MARTE based reactive model for data-parallel intensive processing : Transformation toward the synchronous model, pp.61-63, 2008.

N. Halbwachs, P. Caspi, P. Raymond, and D. Pilaud, The synchronous dataflow programming language lustre, Proceedings of the IEEE, pp.1305-1320, 1991.

N. Harb, S. Niar, J. Khan, and M. A. Saghir, A reconfigurable platform architecture for an automotive multiple-target tracking system, ACM SIGBED Review, vol.6, issue.3, pp.1-13, 2009.
DOI : 10.1145/1851340.1851355

M. Held, P. Wolfe, and H. P. Crowder, Validation of subgradient optimization, Mathematical Programming, vol.8, issue.1, pp.62-88, 1974.
DOI : 10.1007/BF01580223

E. Horta and J. W. Lockwood, Parbit : A tool to transform bifitles to implement partial reconguration of field programmable gate arrays (fpgas), 1920.

J. Huang, M. Parris, J. Lee, and R. F. Demara, Scalable FPGA-based architecture for DCT computation using dynamic partial reconfiguration, ACM Transactions on Embedded Computing Systems, vol.9, issue.1, pp.9-33, 2009.
DOI : 10.1145/1596532.1596541

K. Huang, S. Srivastava, and D. Cartes, Decentralized Reconfiguration for Power Systems Using Multi Agent System, 2007 1st Annual IEEE Systems Conference, p.40, 2007.
DOI : 10.1109/SYSTEMS.2007.374681

M. Hubner, K. Paulsson, and J. Becker, Parallel and Flexible Multiprocessor System-On-Chip for Adaptive Automotive Applications based on Xilinx MicroBlaze Soft-Cores, 19th IEEE International Parallel and Distributed Processing Symposium, pp.149-149, 2005.
DOI : 10.1109/IPDPS.2005.325

M. Hübner, C. Schuck, and J. Becker, Elementary block based 2-dimensional dynamic and partial reconfiguration for Virtex-II FPGAs, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, pp.196-196, 2006.
DOI : 10.1109/IPDPS.2006.1639449

M. Hübner, C. Schuck, M. Kuhnle, and J. Becker, New 2-Dimensional Partial Dynamic Reconfiguration Techniques for Real-time Adaptive Microelectronic Circuits, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06), p.97, 1921.
DOI : 10.1109/ISVLSI.2006.67

Q. Imran-rafiq, MARTE based model driven design methodology for targeting dynamically reconfigurable FPGA based SoCs, pp.61-63

M. Iqbal and U. Awan, Run-Time Reconfigurable Instruction Set Processor design: RT-RISP, 2009 2nd International Conference on Computer, Control and Communication, pp.1-6, 2009.
DOI : 10.1109/IC4.2009.4909155

Z. E. Ismaili and A. Moussa, Self-partial and dynamic reconfiguration implementation for aes using fpga, p.33, 2009.

N. R. Jennings, On agent-based software engineering, Artificial Intelligence, vol.117, issue.2, pp.277-296, 2000.
DOI : 10.1016/S0004-3702(99)00107-1

M. Khalgui and H. M. Hanisch, Reconfiguration Protocol for Multi-Agent Control Software Architectures, IEEE Transactions on Systems, Man, and Cybernetics, Part C (Applications and Reviews), vol.41, issue.1, pp.41-81, 2011.
DOI : 10.1109/TSMCC.2010.2064163

R. Koch, T. Pionteck, C. Albrecht, and E. Maehle, A Lightweight Framework for Runtime Reconfigurable System Prototyping, 18th IEEE/IFIP International Workshop on Rapid System Prototyping (RSP '07), pp.61-64, 2007.
DOI : 10.1109/RSP.2007.7

O. Labbani, Modélisation à haut niveau du contrôle dans des applications de traitement systématique à parallélisme massif, pp.68-69, 2006.

S. Lamonnier, M. Thoris, and M. Ambielle, Accelerate partial reconfiguration with a 100% hardware solution, Xcell Journal, vol.151, issue.79, pp.2012-2045

B. M. Lapedus, Chip makers intensify race in 3d dram market, p.17, 2011.

S. Lee, S. Yoo, and K. Choi, Reconfigurable SoC design with hierarchical FSM and synchronous dataflow model, Proceedings of the tenth international symposium on Hardware/software codesign , CODES '02, pp.199-204, 2002.
DOI : 10.1145/774789.774830

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.12.6883

M. Leeser, W. Meleis, M. Vai, S. Chiricescu, W. Xu et al., Rothko: a three-dimensional FPGA, IEEE Design & Test of Computers, vol.15, issue.1, pp.16-23, 1998.
DOI : 10.1109/54.655178

R. Lewis and R. Lewis, Modelling distributed control systems using iec 61499 : Applying function blocks to distributed systems, Institution of Electrical Engineers, p.43, 2001.
DOI : 10.1049/PBCE059E

M. Lin, A. Gamal, Y. Lu, and S. Wong, Performance Benefits of Monolithically Stacked 3-D FPGA, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.2, pp.216-229, 2007.
DOI : 10.1109/TCAD.2006.887920

M. Lin and A. E. Gamal, A routing fabric for monolithically stacked 3D-FPGA, Proceedings of the 2007 ACM/SIGDA 15th international symposium on Field programmable gate arrays , FPGA '07, pp.3-12, 2007.
DOI : 10.1145/1216919.1216921

P. Lysaght, B. Blodget, J. Mason, J. Young, and B. Bridgford, Invited Paper: Enhanced Architectures, Design Methodologies and CAD Tools for Dynamic Reconfiguration of Xilinx FPGAs, 2006 International Conference on Field Programmable Logic and Applications, pp.1-6, 2006.
DOI : 10.1109/FPL.2006.311188

D. G. Hubner, V. Schatz, and J. Becker, Runtime adaptive multi-processor systemon-chip : Rampsoc, IEEE International Symposium on Parallel and Distributed Processing, pp.1-7, 2008.

I. Mansouri, F. Clermidy, P. Benoit, and L. Torres, A run-time distributed cooperative approach to optimize power consumption in MPSoCs, 23rd IEEE International SOC Conference, pp.25-30, 2010.
DOI : 10.1109/SOCC.2010.5784664

URL : https://hal.archives-ouvertes.fr/lirmm-00548820

F. Maraninchi and Y. Rémond, Mode-Automata: a new domain-specific construct for the development of safe critical systems, Science of Computer Programming, vol.46, issue.3, pp.219-254, 2003.
DOI : 10.1016/S0167-6423(02)00093-X

C. Maxfield, New xilinx virtex-7 2000t fpga provides equivalent of 20 million asic gates, p.218, 2011.

C. Maxfield, Fpga architectures from 'a' to 'z' : Part 1, EE Times Design, p.15, 2006.

C. Maxfield, The state of the art in 3d ic technologies, p.17, 2012.

M. Maxfield, The world before 3d ics, p.16, 2012.

S. Mcmillan and S. Guccione, Partial Run-Time Reconfiguration Using JRTR, Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications, FPL '00, pp.352-360, 2000.
DOI : 10.1007/3-540-44614-1_38

T. Mens and P. V. Gorp, A Taxonomy of Model Transformation, Proceedings of the International Workshop on Graph and Model Transformation, pp.125-142, 2005.
DOI : 10.1016/j.entcs.2005.10.021

P. Merino, J. C. Lopez, and M. F. Jaco, A hardware operating system for dynamic reconfiguration of FPGAs, Proceedings of the 8th International Workshop on Field-Programmable Logic and Applications, From FPGAs to Computing Paradigm, pp.431-435, 1998.
DOI : 10.1007/BFb0055275

L. Ming, W. Kuehn, L. Zhonghai, and A. Jantsch, Run-time partial reconfiguration speed investigation and architectural design space exploration, Proceedings of the International Conference on Field Programmable Logic and Applications, pp.498-502, 2009.

A. Montone, V. Rana, M. Santambrogio, and D. Sciuto, HARPE: A Harvard-based processing element tailored for partial dynamic reconfigurable architectures, 2008 IEEE International Symposium on Parallel and Distributed Processing, pp.1-8, 2008.
DOI : 10.1109/IPDPS.2008.4536507

V. J. Mooney, I. , and D. M. Blough, A hardware-software real-time operating system framework for SoCs, IEEE Design & Test of Computers, vol.19, issue.6, pp.44-51, 2002.
DOI : 10.1109/MDT.2002.1047743

G. Moore, No exponential is forever: but "Forever" can be delayed! [semiconductor industry], 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC., pp.20-23, 2003.
DOI : 10.1109/ISSCC.2003.1234194

S. Muhlbach and A. Koch, A Dynamically Reconfigured Multi-FPGA Network Platform for High-Speed Malware Collection, International Journal of Reconfigurable Computing, vol.4219, issue.3, 2012.
DOI : 10.1145/1851399.1851410

F. Muller, J. L. Rhun, F. Lemonnier, B. Miramond, and L. Devaux, A flexible operating system for dynamic applications, Xcell journal, vol.35, issue.73, pp.30-34, 2010.
URL : https://hal.archives-ouvertes.fr/hal-00542646

S. Narayanan, D. Chillet, S. Pillement, and I. Sourdis, Hardware OS Communication Service and Dynamic Memory Management for RSoCs, 2011 International Conference on Reconfigurable Computing and FPGAs, pp.117-122, 2011.
DOI : 10.1109/ReConFig.2011.47

URL : https://hal.archives-ouvertes.fr/hal-00650651

P. S. Nascimento, P. R. Maciel, M. E. Lima, R. E. Sant, and A. G. Filho, A partial reconfigurable architecture for controllers based on Petri nets, Proceedings of the 17th symposium on Integrated circuits and system design , SBCCI '04, pp.16-21, 2004.
DOI : 10.1145/1016568.1016581

J. F. Nash, Equilibrium points in n-person games, Proceedings of the National Academy of Sciences of the United States of America, p.41, 1950.

B. T. Ng, L. Q. Zhuang, J. B. Zhang, and Y. Z. Zhao, Consortium based negotiation for distributed multi-equipment execution control, IEEE International Symposium on Industrial Electronics, pp.757-762, 2004.

X. Y. Niu, K. H. Tsoi, and W. Luk, Reconfiguring Distributed Applications in FPGA Accelerated Cluster with Wireless Networking, 2011 21st International Conference on Field Programmable Logic and Applications, pp.545-550, 2011.
DOI : 10.1109/FPL.2011.106

R. Olfati-saber, J. Fax, and R. Murray, Consensus and Cooperation in Networked Multi-Agent Systems, Proceedings of the IEEE, vol.95, issue.1, pp.215-233, 2007.
DOI : 10.1109/JPROC.2006.887293

M. J. Osborne and A. Rubinstein, A course in game theory, p.41, 1994.

O. I. Partnership, Open core protocol specification, p.130, 2008.

K. Paulsson, M. Hübner, and J. Becker, On-line optimization of FPGA power-dissipation by exploiting run-time adaption of communication primitives, Proceedings of the 19th annual symposium on Integrated circuits and systems design , SBCCI '06, pp.173-178, 2006.
DOI : 10.1145/1150343.1150389

K. Paulsson, M. Hübner, and J. Becker, Dynamic power optimization by exploiting self-reconfiguration in Xilinx Spartan 3-based systems, Microprocessors and Microsystems, vol.33, issue.1, pp.46-52, 2009.
DOI : 10.1016/j.micpro.2008.08.006

K. Paulsson, M. Hubner, J. Becker, J. Philippe, and C. Gamrat, On-Line Routing of Reconfigurable Functions for Future Self-Adaptive Systems - Investigations within the ??THER Project, 2007 International Conference on Field Programmable Logic and Applications, p.39, 2007.
DOI : 10.1109/FPL.2007.4380682

J. Philippe, B. Tain, and C. Gamrat, A Self-reconfigurable FPGA-Based Platform for Prototyping Future Pervasive Systems, Evolvable Systems : From Biology to Hardware, pp.262-273, 2010.
DOI : 10.1007/s11265-006-0017-6

D. Puschini, F. Clermidy, P. Benoit, and G. S. Torres, Temperature-Aware Distributed Run-Time Optimization on MP-SoC Using Game Theory, 2008 IEEE Computer Society Annual Symposium on VLSI, pp.37-41, 2008.
DOI : 10.1109/ISVLSI.2008.33

URL : https://hal.archives-ouvertes.fr/lirmm-00280678

D. Puschini, F. Clermidy, P. Benoit, and G. S. Torres, Adaptive energy-aware latencyconstrained dvfs policy for mpsoc, IEEE International SOC Conference, pp.37-41, 2009.

J. Queille and J. Sifakis, A temporal logic to deal with fairness in transition systems, 23rd Annual Symposium on Foundations of Computer Science (sfcs 1982), pp.217-225, 1982.
DOI : 10.1109/SFCS.1982.57

. Qvto, Eclipse Model To Model (M2M) Project. http ://m2m.eclipse.org, p.124

S. Ramalingam, 3d ic development and key role of supply chain collaboration, IEEE Components, Packaging, and Manufacturing Technology Conference, p.218, 2011.

V. Rana, M. Santambrogio, D. Sciuto, B. Kettelhoit, M. Koester et al., Partial Dynamic Reconfiguration in a Multi-FPGA Clustered Architecture Based on Linux, 2007 IEEE International Parallel and Distributed Processing Symposium, pp.1-8, 2007.
DOI : 10.1109/IPDPS.2007.370363

F. Redaelli, M. Santambrogio, D. Sciuto, and S. O. Memik, Reconfiguration aware task scheduling for multi-fpga systems, Reconfigurable Computing, pp.57-215, 2010.

E. Riccobene, P. Scandurra, A. Rosti, and S. Bocchio, A model-driven design environment for embedded systems, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.915-918, 2006.
DOI : 10.1145/1146909.1147141

W. Rodrigues, A Methodology to Develop High Performance Applications on GPGPU Architectures : Application to Simulation of Electrical Machines, p.58, 2012.

M. D. Santambrogio, V. Rana, and D. Sciuto, Operating system support for online partial dynamic reconfiguration management, 2008 International Conference on Field Programmable Logic and Applications, p.35, 2008.
DOI : 10.1109/FPL.2008.4629982

M. Santarini, Stacked & loaded : Xilinx ssi, 28-gbps i/o yield amazing fpgas, p.218, 2011.

C. Schuck, B. Haetzer, and J. Becker, An Interface for a Decentralized 2D Reconfiguration on Xilinx Virtex-FPGAs for Organic Computing, International Journal of Reconfigurable Computing, vol.2009, issue.39, pp.3-7, 2009.
DOI : 10.1016/j.micpro.2006.02.006

S. Sendall and W. Kozaczynski, Model transformation: the heart and soul of model-driven software development, IEEE Software, vol.20, issue.5, pp.42-45, 2003.
DOI : 10.1109/MS.2003.1231150

H. Sidiropoulos, K. Siozios, and D. Soudris, A framework for architecture-level exploration of 3-d fpga platforms. Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, pp.298-307, 2011.

H. Tan, R. F. Demara, A. J. Thakkar, A. Ejnioui, and J. Sattler, Complexity and performance evaluation of two partial reconfiguration interfaces on fpgas : A case study, ERSA'06, pp.253-256, 2006.

S. Toscher, R. Kasper, and T. Reinemann, Implementation of a reconfigurable hard real-time control system for mechatronic and automotive applications, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, p.37, 2006.
DOI : 10.1109/IPDPS.2006.1639469

S. Toscher, T. Reinemann, and R. Kasper, An Adaptive FPGA-Based Mechatronic Control System Supporting Partial Reconfiguration of Controller Functionalities, First NASA/ESA Conference on Adaptive Hardware and Systems (AHS'06), pp.225-228, 2006.
DOI : 10.1109/AHS.2006.17

C. Trabelsi, R. B. Atitallah, S. Meftali, J. Dekeyser, and A. Jemai, A Model-Driven Approach for Hybrid Power Estimation in Embedded Systems Design, EURASIP Journal on Embedded Systems, vol.6, issue.4, p.82, 2011.
DOI : 10.1109/MS.2003.1231150

URL : https://hal.archives-ouvertes.fr/hal-00784427

C. Trabelsi, S. Meftali, R. B. Atitallah, A. Jemai, J. Dekeyser et al., An mde approach for energy consumption estimation in mpsoc design, 2nd Workshop on Rapid Simulation and Performance Evaluation : Methods and Tools, p.82, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00486200

C. Trabelsi, S. Meftali, and J. Dekeyser, Distributed control for reconfigurable FPGA systems: A high-level design approach, 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), p.135, 2012.
DOI : 10.1109/ReCoSoC.2012.6322871

URL : https://hal.archives-ouvertes.fr/hal-00709755

C. Trabelsi, S. Meftali, and J. Dekeyser, Semi-distributed Control for FPGA-based Reconfigurable Systems, 2012 15th Euromicro Conference on Digital System Design, p.79, 2012.
DOI : 10.1109/DSD.2012.118

URL : https://hal.archives-ouvertes.fr/hal-00703093

C. Trabelsi, S. Meftali, and J. Dekeyser, Decentralized control for dynamically reconfigurable fpga systems. Microprocessors and Microsystems, sera publié en 2013, p.64
URL : https://hal.archives-ouvertes.fr/hal-00922290

A. Tumeo, M. Monchiero, G. Palermo, F. Ferrandi, and D. Sciuto, A Self-Reconfigurable Implementation of the JPEG Encoder, 2007 IEEE International Conf. on Application-specific Systems, Architectures and Processors (ASAP), pp.24-29, 2007.
DOI : 10.1109/ASAP.2007.4429953

J. Vidal, F. De-lamotte, G. Gogniat, J. Diguet, and P. Soulard, UML design for dynamically reconfigurable multiprocessor embedded systems, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), p.64, 2010.
DOI : 10.1109/DATE.2010.5456989

URL : https://hal.archives-ouvertes.fr/hal-00488582

K. Vipin and S. Fahmy, A high speed open source controller for FPGA Partial Reconfiguration, 2012 International Conference on Field-Programmable Technology, pp.61-66, 2012.
DOI : 10.1109/FPT.2012.6412113

V. Vyatkin, M. Hirsch, and H. Hanisch, Systematic Design and Implementation of Distributed Controllers in Industrial Automation, 2006 IEEE Conference on Emerging Technologies and Factory Automation, pp.633-640, 2006.
DOI : 10.1109/ETFA.2006.355448

P. Wattebled, J. Diguet, and J. Dekeyser, Membrane-based design and management methodology for parallel dynamically reconfigurable embedded systems, 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), p.220, 2012.
DOI : 10.1109/ReCoSoC.2012.6322884

URL : https://hal.archives-ouvertes.fr/hal-00745150

N. Weste and D. Harris, Principles of CMOS VLSI design : A circuits and systems perspectives, p.217, 2004.

G. Wigley, D. Kearney, and M. Jasiunas, ReConfigME: a detailed implementation of an operating system for reconfigurable computing, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, p.35, 2006.
DOI : 10.1109/IPDPS.2006.1639475

. Xilinx, Virtex 2.5 v field programmable gate arrays product specification, 2001.

. Xilinx, Two Flows for Partial Reconfiguration : Module Based or Difference Based, p.19, 2003.

. Xilinx, Opb hwicap product specification, 2004.

. Xilinx, Two Flows for Partial Reconfiguration : Module Based or Difference Based, p.26, 2004.

. Xilinx, Synthesis and simulation design guide, p.24, 2009.

. Xilinx, Virtex-5 family overview product specification, 2009.

. Xilinx, Early Access Partial Reconfigurable Flow, 2010.

. Xilinx, Virtex-4 family overview product specification, 2010.

. Xilinx, Logicore ip xps hwicap (v5.01a) product specification, p.20, 2011.

. Xilinx, Virtex-ii pro and virtex-ii pro x platform fpgas : Complete data sheet product specification, 2011.

. Xilinx, 7 series fpgas overview advance product specification, p.207

. Xilinx, Logicore ip axi bus functional models (v3.00.a) product specification, p.22, 2012.

. Xilinx, Logicore ip axi hwicap (v2.02.a) product specification, p.21, 2012.

. Xilinx, Virtex-5 fpga configuration user guide, pp.2012-2032

. Xilinx, Virtex-6 family overview product specification, pp.2012-2015

C. Ykman-couvreur, E. Brockmeyer, V. Nollet, T. Marescaux, F. Catthoor et al., Design-Time Application Exploration for MP-SoC Customized Run-Time Management, 2005 International Symposium on System-on-Chip, pp.66-69, 2005.
DOI : 10.1109/ISSOC.2005.1595646

C. Ykman-couvreur, V. Nollet, T. Marescaux, E. Brockmeyer, F. Catthoor et al., Pareto-Based Application Specification for MP-SoC Customized Run-Time Management, 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, pp.78-84, 2006.
DOI : 10.1109/ICSAMOS.2006.300812

C. Yu and R. Nagpal, Self-adapting modular robotics: A generalized distributed consensus framework, 2009 IEEE International Conference on Robotics and Automation, pp.1881-1888, 2009.
DOI : 10.1109/ROBOT.2009.5152663

C. Yu, J. Werfel, and R. Nagpal, Collective decision-making in multi-agent systems by implicit leadership, Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems, pp.1189-1196, 2010.

H. Yu, A. Gamatié, É. Rutten, and J. Dekeyser, Safe design of high-performance embedded systems in an MDE framework, Innovations in Systems and Software Engineering, pp.215-222, 2008.
DOI : 10.1007/s11334-008-0059-y

B. 5. La, . B. Vhdlsyntax-fig, . La, and . B. Vhdlsyntax-fig, 24 ? Traduction des reshapes MARTE selon la syntaxe VHDL Comme le montre la figure B.23, le signal reshapecoordto_controller_coord est un tableau de 2 éléments traduisant un shape = 2 du port to_controller du coordinateur Le signal reshapecbfrom_coordinator_cb est de type de coordination_interface puisque le port from_coordinator du contrôleur ControllerB est de ce type. La figure B.24 illustre les port map de l'instance du coordinateur (coord à gauche) et celle du contrôleur ControllerB (cb à droite) utilisant respectivement les signaux reshapecoordto_controller_coord et reshapecbfrom_coordinator_cb de la figure B.23. Comme expliqué dans la figure 5.7, le «Reshape» entre le port to_controller du coordinateur coord et le port from_coordinator du contrôleur cb a comme tiler source qui a une origine égale à {1} Cette connexion est traduite en une instruction generate VHDL (GenerateStatement) comme le montre la partie inférieure de la figure B.24. Le nombre de répétitions gérées par cette instruction correspond au nombre de répétitions du port du côté du tiler source. Ici, il s'agit du port from_coordinator du contrôleur cb qui n'est pas répété (le port n'est pas stéréotypé «Shaped» et le contrôleur cb n'est pas répété non plus) La boucle for du generate commence à 0 et finit à 0 indiquant qu'il s'agit d'une seule répétition, Cela indique que le port from_coordinator du contrôleur cb est lié au deuxième élément du tableau représentant le port to_controller du coordinateur coord