E. M. Clarke, O. Grumberg, and D. A. Peled, Model Checking, 2000.

B. Sheldon, A. Akers, A. Biere, E. M. Cimatti, O. Clarke et al., Binary Decision Diagrams Bounded Model Checking, of Advances in Computers IEEE Standard VHDL Language Reference Manual IEEE Standard Verilog ® Hardware Description Language, 1364.

H. Foster, A. Krolnik, and L. D. , Assertion-Based Design, 2004.

J. Srouji, S. Mehta, D. Brophy, K. Pieper, S. Sutherland et al., IEEE standard for systemverilog -unified hardware design, specification, and verification language PSL and SVA: Two standard assertion languages addressing complementary engineering needs, Proceedings of the Design and Verification Conference: DVCon'05, 1800.

Y. Oddos, K. Morin-allory, and D. Borrione, Horus: A tool for Assertion- Based Verification and on-line testing [13] Y. Oddos : " Vérification semi-formelle et synthèse automatique de PSL vers HDL, Proc. MEMOCODE'08 Thèse de l, 2008.

Y. Abarbanel, I. Beer, L. Gluhovsky, S. Keidar, and Y. Wolfsthal, FoCs ??? Automatic Generation of Simulation Checkers from Formal Specifications, Computer Aided Verification, 2000.
DOI : 10.1007/10722167_40

M. Pellauer, M. Lis, D. Baltus, R. Nikhil, and E. Gascard, Synthesis of synchronous assertions with guarded atomic actions From sequential extended regular expressions to deterministic automata, Proceedings of the 4th ACM-IEEE International Conference on Formal Methods and Models for Codesign: ME- MOCODE'05, pp.15-24, 2005.

M. Jenihhin, J. Raik, A. Chepurov, and R. Ubar, Assertion checking with PSL and high-level decision diagrams, Proc. Workshop on RTL and High Level Testing: WRTLT'07, 2007.
DOI : 10.1007/s10836-009-5116-4

]. M. Boulé and Z. Zilic, Incorporating efficient assertion checkers into hardware emulation, 2005 International Conference on Computer Design, pp.221-228, 2005.
DOI : 10.1109/ICCD.2005.66

M. Boulé and Z. Zilic, Efficient Automata-Based Assertion-Checker Synthesis of PSL Properties, 2006 IEEE International High Level Design Validation and Test Workshop, 2006.
DOI : 10.1109/HLDVT.2006.319966

I. Beer, S. Ben-david, C. Eisner, Y. Rodeh, O. Kupferman et al., Efficient detection of vacuity in ACTL formulas Vacuity Detection in Temporal Model Checking Vacuity Analysis for Property Qualification by Mutation of Checkers, Proc. 9 th Conferences en Computer Aided Verification CHARME'99, pp.279-290, 1997.

I. Beer, S. Ben-david, C. Eisner, and Y. Rodeh, Efficient Detection of Vacuity in Temporal Model Checking, Test, Testabilité et Test Integré Des Circuits Intégrés Logiques Cours de Test, Master Systèmes Microélectroniques, pp.141-163, 2001.

P. Goel, An Implicit Enumeration Algorithm to Generate Tests for Combinational Logic Circuits, IEEE Transactions on Computers, vol.29, 1981.

H. Fujiwara, G. Parthasarathy, C. Huang, and K. Cheng, On the Acceleration of Test Generation Algorithms An Analysis of ATPG and SAT algorithms for Formal Verication, IEEE Transactions on Computers High-Level Design Validation and Test Workshop, p.31, 1983.

H. Konuk and T. Larrabee, Explorations of sequential ATPG using Boolean satisfiability, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium, 1993.
DOI : 10.1109/VTEST.1993.313303

S. Nikhil, . Saluja, P. Sunil, M. Khatri-prasad, M. Hsiao et al., Efficient SAT-based Combinational ATPG using Multi-level Don't-Cares Can SAT be used to improve sequential ATPG methods?, Proc. International Test Conference Proc. International Conference on VLSI Design, 2004.

M. S. Hsiao, E. M. Rudnick, and J. H. Patel, Sequential circuit test generation using dynamic state traversal, Proceedings European Design and Test Conference. ED & TC 97, 1997.
DOI : 10.1109/EDTC.1997.582325

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.373.3012

M. W. Moskewicz, C. F. Madigan, Y. Zhao, L. Zhang, S. Malik et al., Chaff, Proceedings of the 38th conference on Design automation , DAC '01, pp.214-218, 1991.
DOI : 10.1145/378239.379017

S. Eggersglüß, R. Drechsler, S. Tasiran, K. Keutzer, J. Sordoillet et al., Improving Test Pattern Compactness in SAT-based ATPG Coverage metrics for functional validation of hardware Integrated, comprehensive assertion-based coverage, In : designs. IEEE Design and Test of Computers In : EDA Tech Forum, vol.18, issue.31, pp.36-4522, 2001.

D. Geist, M. Farkas, A. Landver, Y. Lichtenstein, S. Ur et al., Coverage-directed test generation using symbolic techniques, Proc. International Conference on Formal Methods in Computer-Aided Design (FMCAD), 1996.
DOI : 10.1007/BFb0031805

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.16.8765

G. Ratsaby, B. Sterin, S. Ur, L. Arditi, H. Boufaïed et al., Improvements in Coverability Analysis Coverage Directed Generation of System-Level Test Cases for the Validation of a DSP System Improving Testbench Evaluation using Normalized Formal Properties, Proc. International Symposium of Formal Methods Europe, FME'02. LNCS 2391. [43] Proc. International Workshop on Verification and Evaluation of Computer and Communication Systems, 2009.

O. Guzey and L. Wang, Coverage-directed test generation through automatic contraint extraction, High Level Design Validation and Test Workshop (HLVDT'07), 2007.

J. G. Tong and M. Boulé, Definig and Providing Coverage for Assertion-Based Dynamic Verification, Journal of Electronic Testing, vol.26, 2010.

]. Koo and P. Mishra, Functional Test Generartion Using Design ans Property Decomposition Techniques, In ACM Transactions on Embedded Computing Systems, vol.47, issue.8 4, p.32, 2009.

]. O. Kupferman and Y. Lustig, What Triggers a Behavior?, Formal Methods in Computer Aided Design (FMCAD'07), 2007.
DOI : 10.1109/FAMCAD.2007.41

]. L. Pierre and L. Damri, Improvement of Assertion-Based Verification through the Generation of Proper Test Sequences Validating Assertion Language Rewrite Rules and Sementics with Automated Theorem Provers, Proc. Forum on specification & Design Languages IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2010.

D. Laila, M. Université-de-grenoble, Z. Boulé, and . Zilic, Automata-Based Assertion-Checkers Synthesis of Properties, In ACM Transactions on Design Automation of Electronic Systems, vol.52, 2008.

V. Singh and T. Garg, Transformation of Simple Subset of PSL into SERE Implication Formulas for Verification with Model Checking and Simulation Engines using Semantic Preserving Rewrite Rules, In : United States Patent, vol.7386813, 2008.

A. Guerre-chaley, F. Ouchet, D. Borrione, K. Morin-allory, and L. Pierre, Développement d'un outil d'aide à la sélection de solutions pour l'accélération d'assertions " , Stage de L1 Université Joseph Fourier High-level symbolic simulation for automatic model extraction Single channel HDLC controller IP -User and Integrator guide, Proc. IEEE Symposium on Design and Diagnostics of Electronic Systems58] Dolphin Integration: " SLED & SMASH -PSL Detectors Tutorial, 1978.