Using unified power format standard concepts for power-aware design and verification of systems-on-chip at transaction level, IET Circuits, Devices & Systems, vol.6, issue.5, pp.287-296, 2012. ,
DOI : 10.1049/iet-cds.2011.0352
PDMgIF: A flexible protocol interface for transaction-level power domain management, Computers & Digital Techniques, p.2013 ,
A Methodology for Power-Aware Transaction-Level Models of Systems-on-Chip Using UPF Standard Concepts, PATMOS, pp.226-236 ,
DOI : 10.1109/2.161279
URL : https://hal.archives-ouvertes.fr/hal-00662427
Using model driven engineering to reliably accelerate early Low Power Intent Exploration for a system-on-chip design, Proceedings of the 27th Annual ACM Symposium on Applied Computing, SAC '12, pp.1580-1587, 2012. ,
DOI : 10.1145/2245276.2232030
URL : https://hal.archives-ouvertes.fr/hal-00666898
Black-box and white-box early power intent simulation and verification: Two novel approaches, DASIP, pp.1-8 ,
URL : https://hal.archives-ouvertes.fr/hal-00764525
Using unified power format standard concepts for power-aware design and verification of systems-on-chip at transaction level, IET Circuits, Devices & Systems, vol.6, issue.5, pp.287-296, 2012. ,
DOI : 10.1049/iet-cds.2011.0352
PDMgIF : A flexible protocol interface for transaction-level power domain management, Computers & Digital Techniques, p.2013 ,
A Methodology for Power-Aware Transaction-Level Models of Systems-on-Chip Using UPF Standard Concepts, PATMOS, pp.226-236 ,
DOI : 10.1109/2.161279
URL : https://hal.archives-ouvertes.fr/hal-00662427
Using model driven engineering to reliably accelerate early low power intent exploration for a systemon-chip design, pp.1580-1587, 2012. ,
Black-box and white-box early power intent simulation and verification : Two novel approaches, DASIP, pp.1-8 ,
URL : https://hal.archives-ouvertes.fr/hal-00764525
Universal Verification Methodology (UVM) 1.0 EA User's Guide ,
Orinoco: A High-Level Power Estimation and Optimization Tool Suite ,
standard structure for packaging, integrating, and reusing IP within tool flow, IEEE Std 1683TM, vol.38, issue.267, p.280, 2009. ,
180 BIBLIOGRAPHY [13] MIPI Alliance Dpecification for System Power Management Interface (SPMI), version 1.00 Object Constraint Language (OCL) Specification, pp.237-289, 2008. ,
Texas Instruments OMAP Family of Products, p.62, 2005. ,
Graphical array specification for parallel and distributed computing, p.84, 2005. ,
PKtool 2.2 Framework extension for transaction level power analysis (related to beta-9 release), p.81, 2011. ,
Common Power Format (CPF) 2.0 Specification, Inc, p.182 ,
<title>Energy estimation and optimization in architectural descriptions of complex embedded systems</title>, VLSI Circuits and Systems II, p.79, 2005. ,
DOI : 10.1117/12.608256
Asynchronous serial communication protocol (without flow control) using tlm 2.0 example of non memory based protocol ,
Accurate power estimation of hardware co-processors using system level simulation, 2009 IEEE International SOC Conference (SOCC), pp.399-402, 2009. ,
DOI : 10.1109/SOCCON.2009.5398009
Adt: Eclipse development tools for atl, Proceedings of the Second European Workshop on Model Driven Architecture (MDA) with an emphasis on Methodologies and Transformations (EWMDA- 2), pp.171-178, 2004. ,
To retain or not to retain: How do i verify the state elements of my low power design?, Proceedings of DVCon, pp.11-17, 2008. ,
Automatic Power Modeling of Infrastructure IP for System-on-Chip Power Analysis, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07), 2007. ,
DOI : 10.1109/VLSID.2007.46
Power monitors: a framework for system-level power estimation using heterogeneous power models, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, pp.579-585, 2005. ,
DOI : 10.1109/ICVD.2005.138
Low power verification methodology using upf, Proceedings of DVCon, pp.228-233, 2009. ,
An MPSoC Performance Estimation Framework Using Transaction Level Modeling, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007. ,
DOI : 10.1109/RTCSA.2007.21
Multilevel MPSOC simulation using an MDE approach, 2007 IEEE International SOC Conference, pp.197-200, 2007. ,
DOI : 10.1109/SOCC.2007.4545457
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.160.9401
MPARM: Exploring the Multi-Processor SoC Design Space with SystemC, Journal of VLSI signal processing systems for signal, image and video technology, vol.41, issue.2, pp.169-182, 2005. ,
DOI : 10.1007/s11265-005-6648-1
Readings in hardware/software co-design, Survey of Design Techniques for System-Level Dynamic Power Management, pp.231-248, 2002. ,
Policy optimization for dynamic power management, Proceedings of the 35th annual Design Automation Conference, 1998. ,
DOI : 10.1109/43.766730
State-based power analysis for systems-on-chip, Proceedings of the 40th conference on Design automation , DAC '03, pp.638-641, 2003. ,
DOI : 10.1145/775832.775992
Advances in low power verification, Proceeding of the thirteenth international symposium on Low power electronics and design, ISLPED '08, pp.327-328, 2008. ,
DOI : 10.1145/1393921.1393925
System level power modeling and simulation of high-end industrial network-on-chip, Proceedings of the conference on Design, automation and test in Europe, pp.30318-76, 2004. ,
An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.2010-897, 2010. ,
DOI : 10.1109/DATE.2010.5456924
42, A Component-Based Approach to Virtual Prototyping of Heterogeneous Embedded Systems, p.179, 2010. ,
URL : https://hal.archives-ouvertes.fr/tel-00539648
Formal and executable contracts for transaction-level modeling in SystemC, Proceedings of the seventh ACM international conference on Embedded software, EMSOFT '09, pp.97-106, 2009. ,
DOI : 10.1145/1629335.1629349
Co-simulation of Functional SystemC TLM Models with Power/Thermal Solvers, 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum, p.82 ,
DOI : 10.1109/IPDPSW.2013.206
URL : https://hal.archives-ouvertes.fr/hal-00807354
Wattch: a framework for architectural-level power analysis and optimizations, Proceedings of the 27th annual international symposium on Computer architecture ISCA '00, ACM, pp.83-94, 2000. ,
Transaction level modeling: A reflection on what tlm is and how tlms may be classified ,
Transaction level modeling: an overview, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis CODES+ISSS '03, ACM, pp.19-24, 2003. ,
System-level power analysis methodology applied to the amba ahb bus, Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum - DATE '03, p.77, 2003. ,
Design and power analysis in systec of an i2c bus driver, FDL, pp.719-727, 2003. ,
Open Source Analysis and Practice of Embedded System Software: SkyEye and ARM-Based Development Platform, p.78, 2000. ,
Formal verification of components assembly based on SysML and interface automata, Innovations in Systems and Software Engineering, vol.28, issue.1, pp.265-274, 2011. ,
DOI : 10.1007/s11334-011-0170-3
URL : https://hal.archives-ouvertes.fr/hal-01222903
Model Checking, p.176, 1999. ,
Extension of systemc framework towards power analysis, Specification Design Languages, pp.1-4, 2009. ,
Separation of Functional and Non-Functional Aspects in Transactional Level Models of Systems-on-Chip, pp.112-191, 0111. ,
Co-Simulation of a SystemC TLM Virtual Platform with a Power Simulator at the Architectural Level: Case of a Set-Top Box, Design Automation Conference (DAC), pp.10-82, 2012. ,
URL : https://hal.archives-ouvertes.fr/hal-00716051
Is your low power design switched on? In System-on-Chip, International Symposium on, pp.1-4, 2007. ,
Functional verification of low power sesigns at rtl, Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation PATMOS'07, pp.288-299, 2007. ,
Feature-based survey of model transformation approaches, IBM Systems Journal, vol.45, issue.3, pp.621-645, 2006. ,
DOI : 10.1147/sj.453.0621
Using Transaction Level Modeling techniques for wireless sensor network simulation, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.1047-1052, 2010. ,
DOI : 10.1109/DATE.2010.5456964
Specc: Specification language and methodology, In Kluwer Academic, p.30, 2000. ,
Understanding the low power abstraction, Proceedings of DVCon, pp.204-210, 2010. ,
A power estimation methodology for systemC transaction level models, Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES+ISSS '05, pp.142-147, 2005. ,
DOI : 10.1145/1084834.1084874
Transaction-level modeling for architectural and power analysis of PowerPC and CoreConnect-based systems, Design Automation for Embedded Systems, vol.10, issue.2-3, pp.2-3, 2005. ,
DOI : 10.1007/s10617-006-9586-7
Energy and Power Consumption Estimation for Embedded Applications and Operating Systems, Journal of Low Power Electronics, vol.5, issue.4, pp.416-428, 2009. ,
DOI : 10.1166/jolpe.2009.1041
URL : https://hal.archives-ouvertes.fr/hal-00429454
Model driven high-level power estimation of embedded operating systems com- 302/311 LEAT/UNSA Ons MBAREK BIBLIOGRAPHY munication services, Proceedings of the 2009 International Conference on Embedded Software and Systems ICESS '09, pp.475-481, 2009. ,
Transaction level modeling, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, pp.75-80, 2004. ,
DOI : 10.1145/1016720.1016742
Readings in hardware/software co-design Design of embedded systems: formal models, validation, and synthesis, pp.86-107, 2002. ,
Formal semantics for PSL modeling layer and application to the verification of transactional models, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.1207-1212, 2010. ,
DOI : 10.1109/DATE.2010.5456991
URL : https://hal.archives-ouvertes.fr/hal-00489351
Native isssystemc integration for the co-simulation of multi-processor soc, Proceedings of the conference on Design, automation and test in Europe ,
Upping verification productivity of low power designs, Proceedings of DVCon, pp.3-10, 2008. ,
Design Patterns: Elements of Reusable Object-Oriented Software, p.222, 1995. ,
Transaction-Level Modeling with Systemc: Tlm Concepts and Applications for Embedded Systems, p.30, 2006. ,
DOI : 10.1007/b137175
System-level energy estimation with Powersim, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems, pp.723-726 ,
DOI : 10.1109/ICECS.2011.6122376
Instruction-based systemlevel power evaluation of system-on-a-chip peripheral cores, Proceedings of the 13th international symposium on System synthesis ISSS '00, pp.163-169, 2000. ,
A Boolean Algebra of Contracts for Assume-guarantee Reasoning, Electronic Notes in Theoretical Computer Science, vol.263, pp.111-127, 2010. ,
DOI : 10.1016/j.entcs.2010.05.007
URL : https://hal.archives-ouvertes.fr/inria-00292870
Multi-view Power Modeling Based on UML, MARTE and SysML, 2012 38th Euromicro Conference on Software Engineering and Advanced Applications, pp.17-20, 2012. ,
DOI : 10.1109/SEAA.2012.66
URL : https://hal.archives-ouvertes.fr/hal-00688853
Power Modeling of a NoC Based Design for High Speed Telecommunication Systems, Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling PATMOS'06, pp.157-168, 2006. ,
DOI : 10.1007/11847083_16
System Design with SystemC, p.30, 2002. ,
UML-Based Analysis of Power Consumption for Real-Time Embedded Systems, 2011IEEE 10th International Conference on Trust, Security and Privacy in Computing and Communications, pp.1196-1201, 2011. ,
DOI : 10.1109/TrustCom.2011.161
Leveraging UPF-extracted assertions for modeling and formal verification of architectural power intent, Proceedings of the 47th Design Automation Conference on, DAC '10, pp.773-776, 2010. ,
DOI : 10.1145/1837274.1837469
A comparison of two systemc/tlm semantics for formal verification, MEMOCODE, pp.59-68, 0191. ,
Verification methodology manual for low power (vmm-lp). Synopsys, pp.226-87 ,
Power Estimation of a C Algorithm Based on the Functional-Level Power Analysis of a Digital Signal Processor, Proceedings of the 4th International Symposium on High Performance Computing ISHPC '02, pp.354-360, 2002. ,
DOI : 10.1007/3-540-47847-7_32
URL : https://hal.archives-ouvertes.fr/hal-00077553
Model Transformation Language MOLA, Proceedings of MDAFA 2004 (Model-Driven Architecture: Foundations and Applications, pp.14-28, 2004. ,
DOI : 10.1007/11538097_5
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.102.996
Low Power Methodology Manual: For System-on-Chip Design, pp.160-172, 2007. ,
Component-based design of large distributed real-time systems, Control Engineering Practice, vol.6, issue.1, pp.53-60, 1997. ,
DOI : 10.1016/S0967-0661(97)10047-8
A statistical power estimation methodology embedded in a SystemC code translator, Proceedings of the 24th symposium on Integrated circuits and systems design, SBCCI '11, pp.79-84, 2011. ,
DOI : 10.1145/2020876.2020896
Model driven resource usage simulation for critical embedded systems, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.2012-312 ,
DOI : 10.1109/DATE.2012.6176486
Hierarchical Methods for Power Intent Specification. EEETimes Design Article, p.73, 2012. ,
Functional level power analysis: an efficient approach for modeling the power consumption of complex processors, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.666-667, 2004. ,
DOI : 10.1109/DATE.2004.1268921
URL : https://hal.archives-ouvertes.fr/hal-00013979
High-level energy estimation for dsp systems, PATMOS' 01, pp.311-316, 2001. ,
URL : https://hal.archives-ouvertes.fr/hal-00077563
Extraction de Modèles pour La Conception de Systèmes sur Puce, p.32, 2012. ,
Power Modeling in SystemC at Transaction Level, Application to a DVFS Architecture, 2008 IEEE Computer Society Annual Symposium on VLSI, pp.463-466, 2008. ,
DOI : 10.1109/ISVLSI.2008.71
Soc power estimation framework at transaction level, Proceedings of the 2006 Asia and South Pacific Design Automation Conference ASP-DAC '06, pp.551-558, 2006. ,
A practical power model of amba system for high-level power analysis, VLSI Design, Automation and Test, 2009. VLSI-DAT '09. International Symposium on, pp.347-350, 2009. ,
Comparing system-level power management policies, IEEE Design Test, vol.18, issue.2, pp.10-19, 2001. ,
Logical-time contracts for reactive embedded components, Proceedings. 30th Euromicro Conference, 2004., pp.48-55, 2004. ,
DOI : 10.1109/EURMIC.2004.1333355
URL : https://hal.archives-ouvertes.fr/hal-00293125
Accelerating the Development of TLM-2.0 Models Using Model Authoring Kits (MAKs) Synopsys Inc, p.81 ,
Using model driven engineering to reliably accelerate early low power intent explo- 306/311 LEAT/UNSA Ons MBAREK BIBLIOGRAPHY ration for a system-on-chip design, SAC (2012), pp.1580-1587 ,
A Methodology for Power-Aware Transaction-Level Models of Systems-on-Chip Using UPF Standard Concepts, PATMOS, pp.226-236, 2011. ,
DOI : 10.1109/2.161279
URL : https://hal.archives-ouvertes.fr/hal-00662427
Black-box and whitebox early power intent simulation and verification: Two novel approaches, In DASIP, vol.269, pp.1-8, 2012. ,
URL : https://hal.archives-ouvertes.fr/hal-00764525
Using unified power format standard concepts for power-aware design and verification of systemson-chip at transaction level. Circuits, Devices Systems, pp.287-296, 2012. ,
Power domain management interface: flexible protocol interface for transaction-level power domain management, IET Computers & Digital Techniques, vol.7, issue.4, p.282, 2013. ,
DOI : 10.1049/iet-cdt.2012.0107
URL : https://hal.archives-ouvertes.fr/hal-01089568
Symbolic Model Checking: an Approach to the State Explosion Problem, UMI Order, pp.92-24209, 1992. ,
Object-Oriented Software Construction, p.178, 1988. ,
Applying 'design by contract', Computer, vol.25, issue.10, pp.40-51, 1992. ,
DOI : 10.1109/2.161279
Eiffel: the language, p.97, 1992. ,
Energy estimation based on hierarchical bus models for Ons MBAREK 307/311 BIBLIOGRAPHY power-aware smart cards, Proceedings of the conference on Design, automation and test in Europe, pp.30300-76, 2004. ,
Formalizing TLM with Communicating State Machines, FDL (2006), ECSI, pp.285-293 ,
DOI : 10.1007/978-1-4020-6149-3_14
Model driven engineering for MPSOC design space exploration, Proceedings of the 20th annual conference on Integrated circuits and systems design, SBCCI '07, pp.81-86, 2007. ,
DOI : 10.1145/1284480.1284509
Early embedded software design space exploration using uml-based estimation, Proceedings of the Seventeenth IEEE International Workshop on Rapid System Prototyping, pp.6-24, 2006. ,
SystemC Transaction Level Modeling Library 2.1.0, p.245 ,
Power Aware Design Methodologies, p.102, 2002. ,
DOI : 10.1007/b101914
An overview of the sae architecture analysis design language (aadl) standard: A basis for model-based architecture-driven embedded systems engineering, of IFIP International Federation for Information Processing, pp.3-5, 2005. ,
Integrating PSL properties into SystemC transactional modeling — Application to the verification of a modem SoC, 7th IEEE International Symposium on Industrial Embedded Systems (SIES'12), pp.220-228, 2012. ,
DOI : 10.1109/SIES.2012.6356588
Function-level power estimation methodology for microprocessors, Proceedings of the 37th conference on Design automation , DAC '00, pp.810-813, 2000. ,
DOI : 10.1145/337292.337786
An efficient power estimation methodology for complex risc processor-based platforms, Proceedings of the great lakes symposium on VLSI GLSVLSI '12, ACM, pp.239-244 ,
Static and formal verification of power aware designs at the rtl using upf, Proceedings of DVCon, pp.47-89, 2008. ,
Model transformation: the heart and soul of model-driven software development, IEEE Software, vol.20, issue.5, pp.42-45 ,
DOI : 10.1109/MS.2003.1231150
Refining power consumption estimations in the component based aadl design flow Forum on (sept, Specification , Verification and Design Languages, pp.173-178, 2008. ,
A Power-Managed Protocol Processor for Wireless Sensor Networks, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers., pp.262-263, 2006. ,
DOI : 10.1109/VLSIC.2006.1705385
Standby power management architecture for deep-submicron systems, p.237, 2006. ,
JouleTrack, Proceedings of the 38th conference on Design automation , DAC '01, pp.220-225, 2001. ,
DOI : 10.1145/378239.378467
Aspectc++: an aspect-oriented extension to the c++ programming language, Proceedings of the Fortieth International Conference on Tools Pacific: Objects for internet, mobile and embedded applications CRPIT '02, pp.53-60, 0191. ,
Verification Methodology Manual for Low Power, p.188, 2009. ,
Low power design and verification techniques, Mentor Graphics, p.54, 2007. ,
Generative and transformational techniques in software engineering ii, ch. A Landscape of Bidirectional Model Transformations, pp.408-424, 2008. ,
Component Software: Beyond Object-Oriented Programming, p.98, 2002. ,
A Temporal Language for SystemC, 2008 Formal Methods in Computer-Aided Design, pp.1-9, 2008. ,
DOI : 10.1109/FMCAD.2008.ECP.26
Power analysis of embedded software: a first step towards software power minimization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.2, issue.4, pp.437-445, 1994. ,
DOI : 10.1109/92.335012
A Model-Driven Approach for Hybrid Power Estimation in Embedded Systems Design, EURASIP Journal on Embedded Systems, vol.6, issue.4, p.84, 2011. ,
DOI : 10.1109/MS.2003.1231150
URL : https://hal.archives-ouvertes.fr/hal-00784427
Simulation-based verification of power aware systemon-chip designs using upf ieee 1801, NORCHIP, pp.1-4, 2009. ,
Fast and accurate protocol specific bus modeling using TLM 2.0, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.316-319, 2009. ,
DOI : 10.1109/DATE.2009.5090680
Course Grained Low Power Design Flow Using UPF, p.85, 2009. ,
Power estimation in embedded systems within a systemc-based design context: The pktool environment, Intelligent solutions in Embedded Systems, pp.179-184, 2009. ,
The Evolution of Power Format Standards: A Cadence Viewpoint, p.72, 2011. ,
The design and use of simplepower, Proceedings of the 37th conference on Design automation , DAC '00, pp.340-345, 2000. ,
DOI : 10.1145/337292.337436
Why You Should Optimize Power at the Electronic System Level. Mentor Graphics Datasheets, p.81 ,
An extension of ocl with temporal logic, Critical Systems Development with UML, pp.53-62, 2002. ,