E. Cw-pattern, AMAT Recipe) with Carbon Mask, p.94

J. W. Coburn and H. F. Winters, Ion??? and electron???assisted gas???surface chemistry???An important effect in plasma etching, Journal of Applied Physics, vol.50, issue.5, pp.50-3189, 1979.
DOI : 10.1063/1.326355

K. Hashimoto, New Phenomena of Charge Damage in Plasma Etching: Heavy Damage Only through Dense-Line Antenna, Japanese Journal of Applied Physics, vol.32, issue.Part 1, No. 12B, pp.32-6109, 1993.
DOI : 10.1143/JJAP.32.6109

K. Hashimoto, Charge Damage Caused by Electron Shading Effect, Japanese Journal of Applied Physics, vol.33, issue.Part 1, No. 10, 1994.
DOI : 10.1143/JJAP.33.6013

N. Fujiwara, T. Maruyama, M. Yoneda, K. Tsukamoto, and T. Banjo, Effect Plasma Transport on Etched Profiles with Surface Topography in Diverging Field Electron Cyclotron Resonance Plasma, Japanese Journal of Applied Physics, vol.33, issue.Part 1, No. 4B, pp.33-2164, 1994.
DOI : 10.1143/JJAP.33.2164

K. P. Giapis and G. S. Hwang, Pattern-Dependent Charging and the Role of Electron Tunneling, Japanese Journal of Applied Physics, vol.37, issue.Part 1, No. 4B, pp.37-2281, 1998.
DOI : 10.1143/JJAP.37.2281

T. J. Dalton, J. C. Arnold, H. H. Sawin, S. Swan, and D. Corliss, Microtrench Formation in Polysilicon Plasma Etching over Thin Gate Oxide, Journal of The Electrochemical Society, vol.140, issue.8, pp.140-2395, 1993.
DOI : 10.1149/1.2220831

M. A. Vyvoda, M. Li, D. B. Graves, H. Lee, M. V. Malyshev et al., Role of sidewall scattering in feature profile evolution during Cl[sub 2] and HBr plasma etching of silicon, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.2, 2000.
DOI : 10.1116/1.591282

K. Giapis and G. Hwang, Plasma interactions with high aspect ratio patterned surfaces: ion transport, scattering, and the role of charging, Thin Solid Films, vol.374, issue.2, 2000.
DOI : 10.1016/S0040-6090(00)01149-4

A. P. Mahorowala and H. H. Sawin, Etching of polysilicon in inductively coupled Cl[sub 2] and HBr discharges. III. Photoresist mask faceting, sidewall deposition, and microtrenching, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.3, p.1077, 2002.
DOI : 10.1116/1.1481868

M. A. Vyvoda, M. Li, and D. B. Graves, Hardmask charging during Cl 2 plasma etching of silicon, J. Vac. Sci. Technol., A, vol.17, issue.3293, 1999.

K. Giapis, G. Hwang, and O. Joubert, The role of mask charging in profile evolution and gate oxide degradation, Microelectronic Engineering, vol.61, issue.62, pp.61-62, 2002.
DOI : 10.1016/S0167-9317(02)00459-8

URL : https://hal.archives-ouvertes.fr/hal-00494490

N. Yabumoto, M. Oshima, O. Michikami, and S. Yoshii, Surface Damage on Si Substrates Caused by Reactive Sputter Etching, Japanese Journal of Applied Physics, vol.20, issue.5, 1981.
DOI : 10.1143/JJAP.20.893

G. S. Oehrlein, R. M. Tromp, J. C. Tsang, Y. H. Lee, and E. J. Petrillo, Near-Surface Damage and Contamination after CF[sub 4]???H[sub 2] Reactive Ion Etching of Si, Journal of The Electrochemical Society, vol.132, issue.6, p.1441, 1985.
DOI : 10.1149/1.2114140

G. S. Oehrlein, Dry etching damage of silicon: A review, Materials Science and Engineering: B, vol.4, issue.1-4, p.441, 1989.
DOI : 10.1016/0921-5107(89)90284-5

S. J. Fonash, An Overview of Dry Etching Damage and Contamination Effects, Journal of The Electrochemical Society, vol.137, issue.12, p.137, 1990.
DOI : 10.1149/1.2086322

M. Okigawa, Y. Ishikawa, and S. Samukawa, Plasma-Radiation-Induced Interface States in Metal-Nitride-Oxide-Silicon Structure of Charge-Coupled Device Image Sensor and Their Reduction Using Pulse-Time-Modulated Plasma, Japanese Journal of Applied Physics, vol.42, issue.Part 1, No. 4B, pp.42-2444, 2003.
DOI : 10.1143/JJAP.42.2444

S. Uchida, S. Takashima, M. Hori, M. Fukasawa, K. Ohshima et al., Plasma damage mechanisms for low-k porous SiOCH films due to radiation, radicals, and ions in the plasma etching process, Journal of Applied Physics, vol.103, issue.7, p.73303, 2008.
DOI : 10.1063/1.2891787

J. Lee and D. B. Graves, The effect of VUV radiation from Ar/O 2 plasmas on low-k SiOCH films, J. Phys. D: Appl. Phys, pp.44-325203, 2011.

H. Shi, H. Huang, J. Bao, J. Liu, P. S. Ho et al., dielectrics, Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol.30, issue.1, pp.30-011206, 2012.
DOI : 10.1116/1.3671008

M. Fukasawa, Y. Miyawaki, Y. Kondo, K. Takeda, H. Kondo et al., Vacuum ultraviolet and ultraviolet radiation-induced effect of hydrogenated silicon nitride etching: Surface reaction enhancement and damage generation, Jpn. J. Appl. Phys, pp.51-026201, 2012.

C. Wei and T. Ma, Reduction of apparent dopant concentration in the surface space charge layer of oxidized silicon by ionizing radiation, Applied Physics Letters, vol.45, issue.8, pp.45-900, 1984.
DOI : 10.1063/1.95407

V. M. Donnelly, F. P. Klemens, T. W. Sorsch, G. L. Timp, F. H. Baumann et al., Oxidation of Si beneath thin SiO 2 layers during exposure to HBr/O 2 plasmas, investigated by vacuum transfer X-ray photoelectron spectroscopy Thin gate oxide behavior during plasma patterning of silicon gates, Appl. Phys. Lett. Appl. Phys. Lett, vol.74, p.75, 1069.

M. Tuda, K. Shintani, and J. Tanimura, Study of plasma???surface interactions during overetch of polycrystalline silicon gate etching with high-density HBr/O2 plasmas, Applied Physics Letters, vol.79, issue.16, p.79, 2001.
DOI : 10.1063/1.1409952

S. A. Vitale and B. A. Smith, Reduction of silicon recess caused by plasma oxidation during high-density plasma polysilicon gate etching, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.5, p.2205, 2003.
DOI : 10.1116/1.1609474

M. Fukasawa, Y. Nakakubo, A. Matsuda, Y. Takao, K. Eriguchi et al., plasma damage to Si substrate, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.29, issue.4, p.41301, 2011.
DOI : 10.1116/1.3596606

H. H. Goto, H. Löwe, and T. Ohmi, Dual excitation reactive ion etcher for low energy plasma processing, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.10, issue.5, 1992.
DOI : 10.1116/1.577863

T. Kitajima, Y. Takeo, Z. L. Petrovi?, and T. Makabe, Functional separation of biasing and sustaining voltages in two-frequency capacitively coupled plasma, Applied Physics Letters, vol.77, issue.4, pp.77-489, 2000.
DOI : 10.1063/1.127020

T. Gans, J. Schulze, D. O-'connell, U. Czarnetzki, R. Faulkner et al., Frequency coupling in dual frequency capacitively coupled radio-frequency plasmas, Applied Physics Letters, vol.89, issue.26, pp.89-261502, 2006.
DOI : 10.1063/1.2425044

T. Yamaguchi, T. Kimura, C. Koshimizu, K. Takeda, H. Kondo et al., Spatial distributions of electron, CF, and CF 2 radical densities and gas temperature in DC-superposed dual-frequency capacitively coupled plasma etch reactor employing cyclic-C 4 F 8Ar gas, Jpn. J. Appl. Phys, issue.2, pp.50-056101, 2011.

T. Goto, M. Hirayama, H. Yamauchi, M. Moriguchi, S. Sugawa et al., A New Microwave-Excited Plasma Etching Equipment for Separating Plasma Excited Region from Etching Process Region, Japanese Journal of Applied Physics, vol.42, issue.Part 1, No. 4B, pp.42-1887, 2003.
DOI : 10.1143/JJAP.42.1887

T. Goto, K. Ikenaga, A. Teramoto, M. Hirayama, S. Sugawa et al., Damage-free microwave-excited plasma etching without carrier deactivation of heavily doped Si under thin silicide layer, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.26, issue.1, 2008.
DOI : 10.1116/1.2804424

T. Goto, A. Inokuchi, K. Ishibashi, S. Yasuda, T. Nakanishi et al., Establishment of very uniform gas-flow pattern in the process chamber for microwaveexcited high-density plasma by ceramic shower plate, J. Vac. Sci. Technol., A, vol.27, issue.686, 2009.

W. M. Manheimer, R. F. Fernsler, M. Lampe, and R. A. Meger, Theoretical overview of the large-area plasma processing system (LAPPS), Plasma Sources Sci. Technol, vol.9, issue.370, 2000.

R. A. Meger, D. D. Blackwell, R. F. Fernsler, M. Lampe, D. Leonhardt et al., Beam-generated plasmas for processing applications, Physics of Plasmas, vol.8, issue.5, 2001.
DOI : 10.1063/1.1345506

D. Leonhardt, S. G. Walton, C. Muratore, R. F. Fernsler, and R. A. Meger, Etching with electron beam generated plasmas, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.22, issue.6, p.2276, 2004.
DOI : 10.1116/1.1795827

URL : http://ecommons.udayton.edu/cgi/viewcontent.cgi?article=1116&context=cme_fac_pub

S. Samukawa, K. Sakamoto, and K. Ichiki, High-Efficiency Low Energy Neutral Beam Generation Using Negative Ions in Pulsed Plasma, Japanese Journal of Applied Physics, vol.40, issue.Part 2, No. 10A, pp.40-997, 2001.
DOI : 10.1143/JJAP.40.L997

S. Samukawa, Ultimate Top-down Etching Processes for Future Nanoscale Devices: Advanced Neutral-Beam Etching, Japanese Journal of Applied Physics, vol.45, issue.4A, pp.45-2395, 2006.
DOI : 10.1143/JJAP.45.2395

T. Kubota, O. Nukaga, S. Ueki, M. Sugiyama, Y. Inamoto et al., 200-mm-diameter neutral beam source based on inductively coupled plasma etcher and silicon etching, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.28, issue.5, p.1169, 2010.
DOI : 10.1116/1.3474977

S. Samukawa, K. Sakamoto, and K. Ichiki, High-Efficiency Neutral-Beam Generation by Combination of Inductively Coupled Plasma and Parallel Plate DC Bias, Japanese Journal of Applied Physics, vol.40, issue.Part 2, No. 7B, pp.40-779, 2001.
DOI : 10.1143/JJAP.40.L779

S. Samukawa and K. Terada, Pulse-time modulated electron cyclotron resonance plasma etching for highly selective, highly anisotropic, and lesscharging polycrystalline silicon patterning, J. Vac. Sci. Technol., B, vol.12, issue.3300, 1994.

S. Samukawa and T. Mieno, Pulse-time modulated plasma discharge for highly selective, highly anisotropic and charge-free etching, Plasma Sources Science and Technology, vol.5, issue.2, 1996.
DOI : 10.1088/0963-0252/5/2/004

T. H. Ahn, K. Nakamura, and H. Sugai, Negative ion measurements and etching in a pulsed-power inductively coupled plasma in chlorine, Plasma Sources Science and Technology, vol.5, issue.2, 1996.
DOI : 10.1088/0963-0252/5/2/005

G. S. Hwang and K. P. Giapis, Mechanism of charging reduction in pulsed plasma etching Characteristics of self bias voltage and poly-Si etching in pulsed helicon wave plasma, Jpn. J. Appl. Phys. Thin Solid Films, vol.37, issue.124, 1998.

S. Samukawa, K. Noguchi, J. I. Colonell, K. H. Bogart, M. V. Malyshev et al., Reduction of plasma induced damage in an inductively coupled plasma using pulsed source power, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.2, 2000.
DOI : 10.1116/1.591283

C. Petit-etienne, M. Darnon, L. Vallier, E. Pargon, G. Cunge et al., Reducing damage to Si substrates during gate etching processes by synchronous plasma pulsing, Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol.28, issue.5, pp.28-926, 2010.
DOI : 10.1116/1.3483165

URL : https://hal.archives-ouvertes.fr/hal-00623374

C. Petit-etienne, E. Pargon, S. David, M. Darnon, L. Vallier et al., Silicon recess minimization during gate patterning using synchronous plasma pulsing, Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol.30, issue.4, pp.30-040604, 2012.
DOI : 10.1116/1.4737125

URL : https://hal.archives-ouvertes.fr/hal-00777317

S. A. Voronin, M. R. Alexander, and J. W. Bradley, Time-resolved measurements of the ion energy distribution function in a pulsed discharge using a double gating technique, Measurement Science and Technology, vol.16, issue.12, pp.16-2446, 2005.
DOI : 10.1088/0957-0233/16/12/007

H. Ohtake, K. Noguchi, S. Samukawa, H. Iida, A. Sato et al., Pulse-time-modulated inductively coupled plasma etching for high-performance polysilicon patterning on thin gate oxides, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.18, issue.5, p.2495, 2000.
DOI : 10.1116/1.1312261

S. Samukawa, Y. Ishikawa, S. Kumagai, and M. Okigawa, On-Wafer Monitoring of Vacuum-Ultraviolet Radiation Damage in High-Density Plasma Processes, Japanese Journal of Applied Physics, vol.40, issue.Part 2, No. 12B, pp.40-1346, 2001.
DOI : 10.1143/JJAP.40.L1346

P. Subramonium and M. J. Kushner, Pulsed plasmas as a method to improve uniformity during materials processing, Journal of Applied Physics, vol.96, issue.1, p.96, 2004.
DOI : 10.1063/1.1751636

G. Cunge, D. Vempaire, and N. Sadeghi, Gas convection caused by electron pressure drop in the afterglow of a pulsed inductively coupled plasma discharge, Applied Physics Letters, vol.96, issue.13, p.96, 2010.
DOI : 10.1063/1.3368124

URL : https://hal.archives-ouvertes.fr/hal-01005963

R. W. Boswell and D. Henry, Pulsed high rate plasma etching with variable Si/SiO 2 selectivity and variable Si etch profiles, Appl. Phys. Lett, pp.47-1095, 1985.
DOI : 10.1063/1.96340

F. F. Chen, Introduction to plasma physics and controlled fusion, 1984.
DOI : 10.1007/978-1-4757-5595-4

J. H. Keller, J. C. Forster, and M. S. Barnes, Novel radio???frequency induction plasma processing techniques, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.11, issue.5, p.2487, 1993.
DOI : 10.1116/1.578597

H. Takekida and K. Nanbu, Particle modelling of inductively-coupled argon plasmas with wafer biasing, Journal of Physics D: Applied Physics, vol.38, issue.18, pp.38-3461, 2005.
DOI : 10.1088/0022-3727/38/18/022

M. A. Sobolewski and J. Kim, The effects of radio-frequency bias on electron density in an inductively coupled plasma reactor, Journal of Applied Physics, vol.102, issue.11, p.113302, 2007.
DOI : 10.1063/1.2815674

H. Lee, M. Lee, and C. Chung, Effects of rf-bias power on plasma parameters in a low gas pressure inductively coupled plasma, Applied Physics Letters, vol.96, issue.7, pp.96-071501, 2010.
DOI : 10.1063/1.3293295

H. Lee, J. Bang, and C. Chung, Effects of RF bias power on electron energy distribution function and plasma uniformity in inductively coupled argon plasma, Thin Solid Films, vol.519, issue.20, p.7009, 2011.
DOI : 10.1016/j.tsf.2011.01.218

J. Schulze, E. Schüngel, and U. Czarnetzki, Coupling effects in inductive discharges with radio frequency substrate biasing, Applied Physics Letters, vol.100, issue.2, p.24102, 2012.
DOI : 10.1063/1.3675879

G. Cunge, R. L. Inglebert, O. Joubert, L. Vallier, and N. Sadeghi, Ion flux composition in HBr/Cl[sub 2]/O[sub 2] and HBr/Cl[sub 2]/O[sub 2]/CF[sub 4] chemistries during silicon etching in industrial high-density plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.5, p.2137, 2002.
DOI : 10.1116/1.1511219

M. Hori and T. Goto, Measurement techniques of radicals, their gas phase and surface reactions in reactive plasma processing, Applied Surface Science, vol.192, issue.1-4, 2002.
DOI : 10.1016/S0169-4332(02)00024-7

K. Miwa and T. Mukai, Influences of reaction products on etch rates and linewidths in a poly-Si/oxide etching process using HBr/O[sub 2] based inductively coupled plasma, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.5, p.2120, 2002.
DOI : 10.1116/1.1511216

O. Joubert, G. Cunge, B. Pelissier, L. Vallier, M. Kogelschatz et al., Monitoring chamber walls coating deposited during plasma processes: Application to silicon gate etch processes, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.22, issue.3, 2004.
DOI : 10.1116/1.1697484

URL : https://hal.archives-ouvertes.fr/hal-00390552

M. Hori and T. Goto, Insights into sticking of radicals on surfaces for smart plasma nano-processing, Applied Surface Science, vol.253, issue.16, 2007.
DOI : 10.1016/j.apsusc.2007.02.006

G. Cunge, N. Sadeghi, R. Ramos, A. Agarwal, and M. J. Kushner, Influence of the reactor wall composition on radicals' densities and total pressure in Cl 2 inductively coupled plasmas: II. During silicon etching Seasoning of plasma etching reactors: Ion energy distributions to walls and real-time and run-to-run control strategies, J. Appl. Phys. J. Vac. Sci. Technol., A, vol.102, issue.26, p.498, 2007.

G. Cunge, D. Vempaire, R. Ramos, M. Touzeau, O. Joubert et al., Radical surface interactions in industrial silicon plasma etch reactors, Plasma Sources Science and Technology, vol.19, issue.3, p.34017, 2010.
DOI : 10.1088/0963-0252/19/3/034017

URL : https://hal.archives-ouvertes.fr/hal-01005939

S. Samukawa and S. Furuoya, Time???modulated electron cyclotron resonance plasma discharge for controlling generation of reactive species, Applied Physics Letters, vol.63, issue.15, 1993.
DOI : 10.1063/1.110586

M. Tuda and K. Ono, Profile Evolution during Pulsed Plasma Etching, Japanese Journal of Applied Physics, vol.35, issue.Part 2, No. 10B, p.1374, 1996.
DOI : 10.1143/JJAP.35.L1374

P. J. Chantry, A simple formula for diffusion calculations involving wall reflection and low density, Journal of Applied Physics, vol.62, issue.4, pp.62-1141, 1987.
DOI : 10.1063/1.339662

D. Vempaire and G. Cunge, Probing radical kinetics in the afterglow of pulsed discharges by absorption spectroscopy with light emitting diodes: Application to BCl radical, Applied Physics Letters, vol.94, issue.2, pp.94-021504, 2009.
DOI : 10.1063/1.3067996

T. D. Bestwick and G. S. Oehrlein, Reactive ion etching of silicon using bromine containing plasmas, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.8, issue.3, p.1696, 1990.
DOI : 10.1116/1.576832

V. M. Donnelly and N. Layadi, Halogen uptake by thin SiO2 layers on exposure to HBr/O2 and Cl2 plasmas, investigated by vacuum transfer x-ray photoelectron spectroscopy, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.16, issue.3, p.1571, 1998.
DOI : 10.1116/1.581189

T. Ito, K. Karahashi, S. Kang, and S. Hamaguchi, ion irradiation, Journal of Physics: Conference Series, vol.232, pp.232-012021, 2010.
DOI : 10.1088/1742-6596/232/1/012021

S. Kuroda and H. Iwakuro, Abrupt reduction in poly-Si etch rate in HBr/O[sub 2] plasma, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.16, issue.4, p.1846, 1998.
DOI : 10.1116/1.590096

M. Nakamura, K. Koshino, and J. Matsuo, Mechanism of high selectivity and impurity effects in HBr RIE: In-situ surface analysis, Jpn. J. Appl. Phys, p.31, 1992.

K. Ono, H. Ohta, and K. Eriguchi, Plasma???surface interactions for advanced plasma etching processes in nanoscale ULSI device fabrication: A numerical and experimental study, Thin Solid Films, vol.518, issue.13, p.3461, 2010.
DOI : 10.1016/j.tsf.2009.11.030

S. A. Vitale, H. Chae, and H. H. Sawin, Silicon etching yields in F 2 , Cl 2 , Br 2 , and HBr high density plasmas, J. Vac. Sci. Technol., A, vol.19, 2001.

J. Coburn, The influence of ion sputtering on the elemental analysis of solid surfaces, Thin Solid Films, vol.64, issue.3, p.371, 1979.
DOI : 10.1016/0040-6090(79)90319-5

K. Koshino, J. Matsuo, and M. Nakamura, Chemical states of bromine atoms on SiO 2 surface after HBr reactive ion etching: Analysis of thin oxide, Jpn. J. Appl. Phys, vol.3063, p.32, 1993.

H. Cho, C. Kim, D. Lee, and . Lymberopoulos, Inductively coupled pulsed plasmas in the presence of synchronous pulsed substrate bias for robust, reliable , and fine conductor etching, IEEE T. Plasma. Sci, vol.37, p.1730, 2009.

H. Singh, J. W. Coburn, and D. B. Graves, Mass spectrometric detection of reactive neutral species: Beam-to-background ratio, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.17, issue.5, 1999.
DOI : 10.1116/1.581981

S. Agarwal, G. W. Quax, M. C. Van-de-sanden, D. Maroudas, and E. S. , Measurement of absolute radical densities in a plasma using modulated-beam line-of-sight threshold ionization mass spectrometry, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.22, issue.1, p.71, 2004.
DOI : 10.1116/1.1627767

J. Benedikt, D. Ellerweg, and A. , Molecular beam sampling system with very high beam-to-background ratio: The rotating skimmer concept, Review of Scientific Instruments, vol.80, issue.5, pp.80-055107, 2009.
DOI : 10.1063/1.3133804

G. Cunge, P. Bodart, M. Brihoum, F. Boulard, T. Chevolleau et al., Measurement of free radical kinetics in pulsed plasmas by UV and VUV absorption spectroscopy and by modulated beam mass spectrometry, Plasma Sources Science and Technology, vol.21, issue.2, p.24006, 2012.
DOI : 10.1088/0963-0252/21/2/024006

URL : https://hal.archives-ouvertes.fr/hal-01005974

B. Pelissier and N. Sadeghi, Time???resolved pulse???counting lock???in detection of laser induced fluorescence in the presence of a strong background emission, Review of Scientific Instruments, vol.67, issue.10, pp.67-3405, 1996.
DOI : 10.1063/1.1147150

H. Sugai and H. Toyoda, Appearance mass spectrometry of neutral radicals in radio frequency plasmas, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.10, issue.4, p.1193, 1992.
DOI : 10.1116/1.578226

A. Tserepi, W. Schwarzenbach, J. Derouard, and N. Sadeghi, Kinetics of F atoms and fluorocarbon radicals studied by threshold ionization mass spectrometry in a microwave CF4 plasma, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.15, issue.6, p.3120, 1997.
DOI : 10.1116/1.580855

W. Schwarzenbach, A. Tserepi, J. Derouard, and N. Sadeghi, Mass spectrometric detection of F atoms and CF x radicals in CF 4 plasmas Appearance potential mass spectrometry: Discrimination of dissociative ionization products, Jpn. J. Appl. Phys. J. Vac. Sci. Technol., A, vol.36, issue.299, 1997.

S. G. Walton, R. F. Fernsler, and D. Leonhardt, Measurement of ion energy distributions using a combined energy and mass analyzer, Review of Scientific Instruments, vol.78, issue.8, pp.78-083503, 2007.
DOI : 10.1063/1.2769352

L. G. Christophorou and J. K. Olthoff, Electron interactions with SF 6, J. Phys. Chem. Ref. Data, vol.29, issue.267, 2000.

R. Rejoub, D. R. Sieglaff, B. G. Lindsay, and R. F. Stebbings, from threshold to 1000 eV, Journal of Physics B: Atomic, Molecular and Optical Physics, vol.34, issue.7, p.1289, 2001.
DOI : 10.1088/0953-4075/34/7/311

W. M. Kessels, C. M. Leewis, M. C. Van-de-sanden, and D. C. Schram, Formation of cationic silicon clusters in a remote silane plasma and their contribution to hydrogenated amorphous silicon film growth, Journal of Applied Physics, vol.86, issue.7, 1999.
DOI : 10.1063/1.371324

S. Candan, A. J. Beck, L. O-'toole, R. D. Short, A. Goodyear et al., The role of ions in the continuous-wave plasma polymerisation of acrylic acid, Physical Chemistry Chemical Physics, vol.1, issue.13, p.3117, 1999.
DOI : 10.1039/a902473e

P. Kae-nune, J. Perrin, J. Guillon, and J. Jolly, Mass spectrometry detection of radicals in SiH 4 -CH 4 -H 2 glow discharge plasmas, Plasma Sources Sci. Technol, vol.4, issue.250, 1995.

J. Benedikt, S. Agarwal, D. Eijkman, W. Vandamme, M. Creatore et al., Threshold ionization mass spectrometry of reactive species in remote Ar???C2H2 expanding thermal plasma, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.23, issue.5, p.1400, 2005.
DOI : 10.1116/1.2006138

J. W. Coburn and E. Kay, Pressure Considerations Associated with Ion Sampling from Glow Discharges, Journal of Vacuum Science and Technology, vol.8, issue.6, p.738, 1971.
DOI : 10.1116/1.1315386

B. E. Thompson, K. D. Allen, A. D. Richards, and H. H. Sawin, Ion bombardment energy distributions in radio???frequency glow???discharge systems, Journal of Applied Physics, vol.59, issue.6, pp.59-1890, 1986.
DOI : 10.1063/1.336417

J. Liu, G. L. Huppert, and H. H. Sawin, Ion bombardment in rf plasmas, Journal of Applied Physics, vol.68, issue.8, p.68, 1990.
DOI : 10.1063/1.346278

J. Janes and C. Huth, Energy resolved angular distribution of argon ions at the substrate plane of a radio frequency plasma reactor, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.10, issue.6, 1992.
DOI : 10.1116/1.577779

J. Benedikt, A. Consoli, M. Schulze, and A. , Plasma, The Journal of Physical Chemistry A, vol.111, issue.42, p.10453, 2007.
DOI : 10.1021/jp072892w

URL : https://hal.archives-ouvertes.fr/hal-01345424

G. Cunge, D. Vempaire, M. Touzeau, and N. Sadeghi, Broadband and timeresolved absorption spectroscopy with light emitting diodes: Application to etching plasma monitoring, Appl. Phys. Lett, pp.91-231503, 2007.

M. Kogelschatz, G. Cunge, and N. Sadeghi, Identification of halogen containing radicals in silicon etching plasmas and density measurement by UV broad band absorption spectroscopy, Journal of Physics D: Applied Physics, vol.37, issue.14, p.37, 1954.
DOI : 10.1088/0022-3727/37/14/010

URL : https://hal.archives-ouvertes.fr/hal-00944895

G. Cunge, M. Fouchier, M. Brihoum, P. Bodart, M. Touzeau et al., Vacuum UV broad-band absorption spectroscopy: a powerful diagnostic tool for reactive plasma monitoring, Journal of Physics D: Applied Physics, vol.44, issue.12, p.44, 2011.
DOI : 10.1088/0022-3727/44/12/122001

URL : https://hal.archives-ouvertes.fr/hal-00641435

J. B. Nee, M. Suto, and L. C. Lee, Quantitative spectroscopy study of HBr in the 105???235 nm region, The Journal of Chemical Physics, vol.85, issue.9, p.85, 1986.
DOI : 10.1063/1.451728

N. S. Braithwaite, J. P. Booth, and G. Cunge, A novel electrostatic probe method for ion flux measurements, Plasma Sources Science and Technology, vol.5, issue.4, 1996.
DOI : 10.1088/0963-0252/5/4/009

J. P. Booth, N. S. Braithwaite, A. Goodyear, and P. Barroy, Measurements of characteristic transients of planar electrostatic probes in cold plasmas, Review of Scientific Instruments, vol.71, issue.7, pp.71-2722, 2000.
DOI : 10.1063/1.1150681

D. Gahan, B. Dolinaj, and M. B. Hopkins, Retarding field analyzer for ion energy distribution measurements at a radio-frequency biased electrode, Review of Scientific Instruments, vol.79, issue.3, pp.79-033502, 2008.
DOI : 10.1063/1.2890100

D. Gahan, S. Daniels, C. Hayden, D. O. Sullivan, and M. B. Hopkins, Characterization of an asymmetric parallel plate radio-frequency discharge using a retarding field energy analyzer, Plasma Sources Science and Technology, vol.21, issue.1, p.15002, 2012.
DOI : 10.1088/0963-0252/21/1/015002

D. Briggs and M. P. Seah, Practical Surface Analysis by Auger and X-ray Photoelectron Spectroscopy, 1983.

J. Scofield, Hartree-Slater subshell photoionization cross-sections at 1254 and 1487 eV, Journal of Electron Spectroscopy and Related Phenomena, vol.8, issue.2, 1976.
DOI : 10.1016/0368-2048(76)80015-1

P. J. Cumpson and M. P. Seah, Elastic Scattering Corrections in AES and XPS. II. Estimating Attenuation Lengths and Conditions Required for their Valid Use in Overlayer/Substrate Experiments, Surface and Interface Analysis, vol.25, issue.6, p.430, 1997.
DOI : 10.1002/(SICI)1096-9918(199706)25:6<430::AID-SIA254>3.0.CO;2-7

]. S. Tanuma, C. J. Powell, and D. R. Penn, Calculations of electron inelastic mean free paths. V. Data for 14 organic compounds over the 50-2000 eV range, Surface and Interface Analysis, vol.20, issue.3, 1994.
DOI : 10.1002/sia.740210302

W. H. Gries, A Universal Predictive Equation for the Inelastic Mean Free Pathlengths of X-ray Photoelectrons and Auger Electrons, Surface and Interface Analysis, vol.12, issue.1, 1996.
DOI : 10.1002/(SICI)1096-9918(199601)24:1<38::AID-SIA84>3.0.CO;2-H

C. Powell and A. Jablonski, Surface sensitivity of X-ray photoelectron spectroscopy, Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, vol.601, issue.1-2, 2009.
DOI : 10.1016/j.nima.2008.12.103

M. P. Seah and S. J. Spencer, Ultrathin SiO 2 on Si. II. Issues in quantification of the oxide thickness, Surf. Interface Anal, vol.33, issue.640, 2002.

B. Pelissier, A. Beaurain, J. Barnes, R. Gassilloud, F. Martin et al., Parallel angle resolved XPS investigations on 12 in. wafers for the study of W and WSi x oxidation in air, Microelectron. Eng, pp.85-1882, 2008.
URL : https://hal.archives-ouvertes.fr/hal-00397111

E. Pargon, M. Darnon, O. Joubert, T. Chevolleau, L. Vallier et al., Towards a controlled patterning of 10 nm silicon gates in high density plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.5, 1913.
DOI : 10.1116/1.2008272

URL : https://hal.archives-ouvertes.fr/hal-00397042

G. S. Oehrlein and Y. Kurogi, Sidewall surface chemistry in directional etching processes, Materials Science and Engineering: R: Reports, vol.24, issue.4, 1998.
DOI : 10.1016/S0927-796X(98)00016-3

S. Hamaguchi and M. Dalvie, Microprofile simulations for plasma etching with surface passivation, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.12, issue.5, p.2745, 1994.
DOI : 10.1116/1.579099

G. S. Oehrlein, J. F. Rembetski, and E. H. Payne, Study of sidewall passivation and microscopic silicon roughness phenomena in chlorine-based reactive ion etching of silicon trenches, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.8, issue.6, p.1199, 1990.
DOI : 10.1116/1.584896

L. Desvoivres, L. Vallier, and O. Joubert, X-ray photoelectron spectroscopy investigation of sidewall passivation films formed during gate etch processes, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.19, issue.2, 2001.
DOI : 10.1116/1.1352727

O. Luere, E. Pargon, L. Vallier, B. Pelissier, and O. Joubert, Etch mechanisms of silicon gate structures patterned in SF 6 /CH 2 F 2 /Ar inductively coupled plasmas, J. Vac. Sci. Technol., B, pp.29-011028, 2011.
URL : https://hal.archives-ouvertes.fr/hal-00623363

F. H. Bell, O. Joubert, and L. Vallier, Polysilicon gate etching in high density plasmas. II. X-ray photoelectron spectroscopy investigation of silicon trenches etched using a chlorine-based chemistry, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.14, issue.3, pp.14-1796, 1996.
DOI : 10.1116/1.588559

K. V. Guinn, C. C. Cheng, and V. M. Donnelly, Quantitative chemical topography of polycrystalline Si anisotropically etched in Cl 2 /O 2 high density plasmas, J. Vac. Sci. Technol., B, vol.13, issue.214, 1995.

L. Vallier, J. Foucher, X. Detter, E. Pargon, O. Joubert et al., Chemical topography analyses of silicon gates etched in HBr/Cl[sub 2]/O[sub 2] and HBr/Cl[sub 2]/O[sub 2]/CF[sub 4] high density plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.2, p.904, 2003.
DOI : 10.1116/1.1563255

M. Darnon, T. Chevolleau, T. David, J. Ducote, N. Posseme et al., Patterning of porous SiOCH using an organic mask: Comparison with a metallic masking strategy, Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena, vol.28, issue.1, p.28, 2010.
DOI : 10.1116/1.3276703

URL : https://hal.archives-ouvertes.fr/hal-00461126

M. Darnon, T. Chevolleau, D. Eon, R. Bouyssou, B. Pelissier et al., Patterning of narrow porous SiOCH trenches using a TiN hard mask, Microelectronic Engineering, vol.85, issue.11, p.2226, 2008.
DOI : 10.1016/j.mee.2008.06.025

URL : https://hal.archives-ouvertes.fr/hal-00387506

J. H. Thomas, C. E. Bryson, and T. R. Pampalone, X-ray photoelectron spectroscopy surface charge buildup used to study residue in deep features on integrated circuits, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.6, issue.4, p.1081, 1988.
DOI : 10.1116/1.584301

M. P. Seah and S. J. Spencer, Attenuation lengths in organic materials, Surface and Interface Analysis, vol.25, issue.510, p.744, 2011.
DOI : 10.1002/sia.3607

E. Pargon, O. Joubert, N. Posseme, and L. Vallier, Resist-pattern transformation studied by x-ray photoelectron spectroscopy after exposure to reactive plasmas. I. Methodology and examples, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.22, issue.4, p.1858, 2004.
DOI : 10.1116/1.1767037

URL : https://hal.archives-ouvertes.fr/hal-00477287

M. Haass, M. Darnon, and O. Joubert, Sidewall passivation layer thickness and composition profiles of etched silicon patterns from angle resolved x-ray photoelectron spectroscopy analysis, Journal of Applied Physics, vol.111, issue.12, pp.111-124905, 2012.
DOI : 10.1063/1.4729775

URL : https://hal.archives-ouvertes.fr/hal-00755597

J. Cazaux, Secondary electron emission and fundamentals of charging mechanisms in XPS, Journal of Electron Spectroscopy and Related Phenomena, vol.178, issue.179, pp.178-179, 2010.
DOI : 10.1016/j.elspec.2009.03.007

M. P. Seah, Ultrathin SiO 2 on Si. VI. Evaluation of uncertainties in thickness measurement using XPS, Surf. Interface Anal, vol.37, issue.300, 2005.

C. Powell and A. Jablonski, Effects of elastic-electron scattering on measurements of silicon dioxide film thicknesses by X-ray photoelectron spectroscopy, Journal of Electron Spectroscopy and Related Phenomena, vol.114, issue.116, pp.114-116, 1139.
DOI : 10.1016/S0368-2048(00)00254-1

M. Tuda, K. Shintani, H. Ootera, X. Detter, R. Palla et al., Profile evolution during polysilicon gate etching with low-pressure high-density Cl 2 /HBr/O 2 plasma chemistries Impact of chemistry on profile control of resist masked silicon gates etched in high density halogen-based plasmas, J. Vac. Sci. Technol., A J. Vac. Sci. Technol, vol.19, issue.21, p.2174, 2001.

M. A. Ali and Y. Kim, Ionization cross sections by electron impact on halogen atoms, diatomic halogen and hydrogen halide molecules, Journal of Physics B: Atomic, Molecular and Optical Physics, vol.41, issue.14, pp.41-145202, 2008.
DOI : 10.1088/0953-4075/41/14/145202

H. N. Kothari, S. H. Pandya, and K. N. Joshipura, Electron impact ionization of plasma important SiCl x (x = 1-4) molecules: Theoretical cross sections, J. Phys. B: At., Mol. Opt. Phys, pp.44-125202, 2011.

G. Cunge, R. Ramos, D. Vempaire, M. Touzeau, M. Neijbauer et al., Gas temperature measurement in CF4, SF6, O2, Cl2, and HBr inductively coupled plasmas, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.27, issue.3, p.471, 2009.
DOI : 10.1116/1.3106626

C. Lee, D. B. Graves, and M. A. Lieberman, Role of etch products in polysilicon etching in a high-density chlorine discharge, Plasma Chemistry and Plasma Processing, vol.5, issue.1, p.99, 1996.
DOI : 10.1007/BF01465219

H. Deutsch, K. Becker, P. Defrance, U. Onthong, M. Probst et al., Calculated absolute cross section for the electronimpact ionisation of simple molecular ions, Int. J. Mass Spectrom, pp.223-224, 2003.

Y. Itikawa, A. Ichimura, K. Onda, K. Sakimoto, K. Takayanagi et al., Cross Sections for Collisions of Electrons and Photons with Oxygen Molecules, Journal of Physical and Chemical Reference Data, vol.18, issue.1, 1989.
DOI : 10.1063/1.555841

V. Poterya, M. Fárník, P. Slaví?ek, U. Buck, and V. V. Kresin, Photodissociation of hydrogen halide molecules on free ice nanoparticles, The Journal of Chemical Physics, vol.126, issue.7, pp.126-071101, 2007.
DOI : 10.1063/1.2709635

J. Erö, Radiofrequency modulation in the thoneman ion source, Nucl. Instrum, vol.3, issue.303, 1958.

J. W. Coburn and E. Kay, Positive???ion bombardment of substrates in rf diode glow discharge sputtering, Journal of Applied Physics, vol.43, issue.12, pp.43-4965, 1972.
DOI : 10.1063/1.1661054

P. Benoit-cattin and L. Bernard, Anomalies of the Energy of Positive Ions Extracted from High???Frequency Ion Sources. A Theoretical Study, Journal of Applied Physics, vol.39, issue.12, p.39, 1968.
DOI : 10.1063/1.1656039

Y. Okamoto and H. Tamagawa, Plasma, Journal of the Physical Society of Japan, vol.29, issue.1, p.29, 1970.
DOI : 10.1143/JPSJ.29.187

URL : https://hal.archives-ouvertes.fr/hal-00002023

E. Kawamura, V. Vahedi, M. A. Lieberman, and C. K. Birdsall, Ion energy distributions in rf sheaths; review, analysis and simulation, Plasma Sources Science and Technology, vol.8, issue.3, p.45, 1999.
DOI : 10.1088/0963-0252/8/3/202

A. D. Kuypers and H. J. Hopman, Ion energy measurement at the powered electrode in an rf discharge, Journal of Applied Physics, vol.63, issue.6, pp.63-1894, 1988.
DOI : 10.1063/1.339888

A. D. Kuypers and H. J. Hopman, Measurement of ion energy distributions at the powered rf electrode in a variable magnetic field, Journal of Applied Physics, vol.67, issue.3, pp.67-1229, 1990.
DOI : 10.1063/1.345721

A. Manenschijn, G. C. Janssen, E. Van-der-drift, and S. Radelaar, Measurement of ion impact energy and ion flux at the rf electrode of a parallel plate reactive ion etcher, Journal of Applied Physics, vol.69, issue.3, pp.69-1253, 1991.
DOI : 10.1063/1.347311

C. Böhm and J. Perrin, Retarding???field analyzer for measurements of ion energy distributions and secondary electron emission coefficients in low???pressure radio frequency discharges, Review of Scientific Instruments, vol.64, issue.1, p.31, 1993.
DOI : 10.1063/1.1144398

M. E. Barone and D. B. Graves, Molecular???dynamics simulations of direct reactive ion etching of silicon by fluorine and chlorine, Journal of Applied Physics, vol.78, issue.11, p.78, 1995.
DOI : 10.1063/1.360482

N. Layadi, V. M. Donnelly, J. T. Lee, and F. P. Klemens, Cl 2 plasma etching of Si(100): Damaged surface layer studied by in situ spectroscopic ellipsometry, J. Vac. Sci. Technol., A, vol.15, issue.604, 1997.

P. Sigmund, Theory of sputtering. I. Sputtering yield of amorphous and polycrystalline targets, Phys. Rev, vol.184, issue.383, 1969.

W. D. Wilson, L. G. Haggmark, and J. P. Biersack, Calculations of nuclear stopping, ranges, and straggling in the low-energy region, Physical Review B, vol.15, issue.5, p.2458, 1977.
DOI : 10.1103/PhysRevB.15.2458

P. C. Zalm, Energy dependence of the sputtering yield of silicon bombarded with neon, argon, krypton, and xenon ions, Journal of Applied Physics, vol.54, issue.5, p.54, 1983.
DOI : 10.1063/1.332340

H. F. Winters and E. Taglauer, Sputtering of chemisorbed nitrogen from single-crystal planes of tungsten and molybdenum Universal energy dependence of physical and ion-enhanced chemical etch yields at low ion energy, Phys. Rev. B Appl. Phys. Lett, vol.35, p.55, 1960.

E. J. Collart, J. A. Baggerman, and R. J. Visser, On the role of atomic oxygen in the etching of organic polymers in a radio???frequency oxygen discharge, Journal of Applied Physics, vol.78, issue.1, pp.78-125, 1995.
DOI : 10.1063/1.360630

D. E. Rosner and J. P. Strakey, High-temperature kinetics of pyrolytic graphite gasification by fluorine atoms and molecules, The Journal of Physical Chemistry, vol.77, issue.5, pp.77-690, 1973.
DOI : 10.1021/j100624a027

J. M. Lane, K. H. Bogart, F. P. Klemens, and J. T. Lee, The role of feedgas chemistry, mask material, and processing parameters in profile evolution during plasma etching of Si(100), Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.18, issue.5, 2000.
DOI : 10.1116/1.1286025

H. Hübner, Calculations on Deposition and Redeposition in Plasma Etch Processes, Journal of The Electrochemical Society, vol.139, issue.11, 1992.
DOI : 10.1149/1.2069072

P. Bodart, G. Cunge, O. Joubert, and T. Lill, plasmas: A new chemistry to etch high-k materials selectively to Si-based materials, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.30, issue.2, p.20602, 2012.
DOI : 10.1116/1.3679551

URL : https://hal.archives-ouvertes.fr/hal-00808662

C. Petit-etienne, M. Darnon, P. Bodart, M. Fouchier, G. Cunge et al., Control of Si etching at the atomic scale using pulsed Cl 2 plasma, J. Vac. Sci. Technol., B