Computer Acrhitecture : A Quantitative Approach, 2003. ,
CMOS Image Sensors Continue to Gain Visible Ground, 2009. ,
Achieve minimum power consumption in mobile memory subsystems, 2006. ,
Challenges in Embedded Memory Design and Test, Design, Automation and Test in Europe, pp.722-727, 2005. ,
DOI : 10.1109/DATE.2005.92
Power Management in Complex SoC Design. Synopsys White Paper, 2005. ,
Du microprocesseur au circuit FPGA. Une analyse sous l'angle de la reconfiguration, Techniques et sciences informatiques, vol.24, issue.4, pp.395-422, 2005. ,
DOI : 10.3166/tsi.24.395-422
AltiVec extension to PowerPC accelerates media processing, IEEE Micro, vol.20, issue.2, pp.85-95, 2000. ,
DOI : 10.1109/40.848475
Introduction to Reconfigurable Computing : Architectures , algorithms and applications, 2007. ,
DOI : 10.1007/978-1-4020-6100-4
A Survey of General-Purpose Computation on Graphics Hardware, Eurographics 2005, State of the Art Reports, pp.21-51, 2005. ,
DOI : 10.1016/j.rti.2005.04.002
Power Consumption of GPUs from a Software Perspective, Computational Science -ICCS 2009 : 9 th International Conference, pp.914-923, 2009. ,
A Flexible and Energy-Efficient Coarse- Grained Reconfigurable Architecture for Mobile Systems, The Journal of Supercomputing, vol.26, issue.3, pp.283-308, 2003. ,
DOI : 10.1023/A:1025699015398
Low-Power Electronics Design, chapter Energy-Efficient Reconfigurable Processors, pp.20-21, 2005. ,
Highly scalable dynamically reconfigurable systolic ring-architecture for DSP applications, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.553-558, 2002. ,
DOI : 10.1109/DATE.2002.998355
DReAM : A Dynamically Reconfigurable Architecture for Future Mobile Communication Applications. Field-Programmable Logic and Applications : The Roadmap to reconfigurable Computing, pp.312-321, 2000. ,
A 1-V heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing, IEEE Journal of Solid-State Circuits, vol.35, issue.11, pp.1697-1704, 2000. ,
DOI : 10.1109/4.881217
Reconfigurable computing: a survey of systems and software, ACM Computing Surveys, vol.34, issue.2, pp.171-210, 2002. ,
DOI : 10.1145/508352.508353
FPGA Architecture : Survey and Challenges. Foundations and Trends in Electronic Design Automation, pp.135-253, 2008. ,
Low-energy embedded FPGA structures, Proceedings of the 1998 international symposium on Low power electronics and design , ISLPED '98, pp.155-160, 1998. ,
DOI : 10.1145/280756.280873
Invited Paper: Enhanced Architectures, Design Methodologies and CAD Tools for Dynamic Reconfiguration of Xilinx FPGAs, 2006 International Conference on Field Programmable Logic and Applications, pp.1-6, 2006. ,
DOI : 10.1109/FPL.2006.311188
A decade of reconfigurable computing: a visionary retrospective, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, pp.642-649, 2001. ,
DOI : 10.1109/DATE.2001.915091
MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications, IEEE Transactions on Computers, vol.49, issue.5, pp.465-481, 2000. ,
DOI : 10.1109/12.859540
Architecture design of reconfigurable pipelined datapaths, Proceedings 20th Anniversary Conference on Advanced Research in VLSI, pp.23-40, 1999. ,
DOI : 10.1109/ARVLSI.1999.756035
Memory Hierarchy Reconfiguration for Energy and Performance in General-Purpose Processor Architectures, MICRO 33 : Proceedings of the 33 rd annual ACM/IEEE International Symposium on Microarchitecture, pp.245-257, 2000. ,
Low-power high-performance reconfigurable computing cache architectures, IEEE Transactions on Computers, vol.53, issue.10, pp.1274-1290, 2004. ,
DOI : 10.1109/TC.2004.80
Selective Cache Ways : On-Demand Cache Resource Allocation, MICRO 32 : Proceedings of the 32 nd Annual International Symposium on Microarchitecture, pp.248-259, 1999. ,
Gated-V dd : A Circuit Technique To Reduce Leakage in Deep-Submicron Cache Memories, ISLPED'00 : Proceedings of the 2000 International Symposium on Low Power Electronics and Design, pp.90-95, 2000. ,
Cache Decay : Exploiting Generational Behavior to Reduce Cache Leakage Power, ISCA'01 : Proceedings of the 28 th Annual International Symposium on Computer Architecture, pp.240-251, 2001. ,
Drowsy caches, ISCA'02 : Proceedings of the 29 th Annual International Symposium on Computer Architecture, pp.148-157, 2002. ,
DOI : 10.1145/545214.545232
A Highly Configurable Cache Architecture for Embedded Systems, ISCA'03 : Proceedings of the 30 th Annual International Symposium on Computer Architecture, pp.136-146, 2003. ,
A parallel DSP testbed with a heterogeneous and reconfigurable network fabric, 1997 Proceedings Second Annual IEEE International Conference on Innovative Systems in Silicon, pp.310-322, 1997. ,
DOI : 10.1109/ICISS.1997.630274
A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, pp.1-51, 2006. ,
DOI : 10.1145/1132952.1132953
Configurable memory organisation for communication applications, Proceedings Euromicro Symposium on Digital System Design. Architectures, Methods and Tools, pp.86-93, 2002. ,
DOI : 10.1109/DSD.2002.1115355
Predicting Multiprocessor Memory Access Patterns with Learning Models, Proceedins of the fourteenth International Conference on Machine Learning, pp.305-312, 1997. ,
Switched memory architectures - moving beyond systolic arrays, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003, pp.28-39, 2003. ,
DOI : 10.1109/ASAP.2003.1212827
RTRAM : Reconfigurable and Testable Multi-bit RAM Design, Proceedings 'New Frontiers in Testing' International Test Conference, pp.263-278, 1988. ,
LPRAM: A Novel Low-Power High-Performance RAM Design With Testability and Scalability, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.5, pp.637-651, 2004. ,
DOI : 10.1109/TCAD.2004.826581
Address Generation Optimization for Embedded High-Performance Processors: A Survey, Journal of Signal Processing Systems, vol.38, issue.5, pp.271-284, 2008. ,
DOI : 10.1007/s11265-008-0165-y
Reconfigurable Multimedia Accelerator for Mobile Systems, Proceedings of the IEEE International SoC Conference, pp.287-290, 2008. ,
Accès concurrents coordonnés aux mémoires partagées pour les accélérateurs multimédia reconfigurables, 2008. ,
Invited Paper : Reconfigurable Clusters of Memory and Processors Architecture for Stream Processing Systems, Proceedings of the 6 th International Conference on High Performance Computing in Asia Pacific Region (HPC'02), 2002. ,
Reconfigurable Memory Module in the RAMP System for Stream Processing, Proceedings of International Symposium on Computer Architecture Workshop, pp.113-125, 2001. ,
Design and Implementation of Parallel Memory Architectures, 2006. ,
Smart Memories : A Modular Reconfigurable Architecture, ISCA'00 : Proceedings of the 27 th International Symposium on Computer Architecture, pp.161-171, 2000. ,
Architecture and circuit techniques for a 1.1-GHz 16-kb reconfigurable memory in 0.18-/spl mu/m CMOS, IEEE Journal of Solid-State Circuits, vol.40, issue.1, pp.261-275, 2005. ,
DOI : 10.1109/JSSC.2004.837992
Design and Analysis of Reconfigurable Memories, 2005. ,
Architecture reconfigurable dynamiquement pour applications mobiles, 2003. ,
Conception VLSI d'un processeur reconfigurable pour des applications faible puissance, 2005. ,
MOREA : A Memory- Oriented Reconfigurable Embedded Architecture, DASIP'08 : Proceedings of the 2008 Conference on Design and Architectures for Signal and Image Processing, pp.124-131, 2008. ,
The Chimaera Reconfigurable Functional Unit, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.206-217, 2004. ,
Memory addressing organization for stream-based reconfigurable computing, 9th International Conference on Electronics, Circuits and Systems, pp.813-817, 2002. ,
DOI : 10.1109/ICECS.2002.1046298
Motion-Compensated Interframe Coding for Video Conference, NTC'81 : Proceedings of the National Telecommunications Conference, pp.5-8, 1981. ,
Low-Complexity Transform and Quantization in H, IEEE Transactions on Circuits and Systems for Video Technology, pp.598-603, 2003. ,
Structure m??moire reconfigurable. Vers une structure de stockage faible consommation, Techniques et sciences informatiques, vol.27, issue.1-2, pp.181-202, 2008. ,
DOI : 10.3166/tsi.27.181-202