J. L. Bibliographie1, D. A. Hennessy, D. Patterson, K. Goldberg, and . Asanovic, Computer Acrhitecture : A Quantitative Approach, 2003.

P. Tufegdzic, CMOS Image Sensors Continue to Gain Visible Ground, 2009.

O. Vargas, Achieve minimum power consumption in mobile memory subsystems, 2006.

E. Jan-marinissen, B. Prince, D. Keitel-schulz, and Y. Zorian, Challenges in Embedded Memory Design and Test, Design, Automation and Test in Europe, pp.722-727, 2005.
DOI : 10.1109/DATE.2005.92

J. Flynn and B. Waldo, Power Management in Complex SoC Design. Synopsys White Paper, 2005.

R. David, D. Lavenier, and S. Pillement, Du microprocesseur au circuit FPGA. Une analyse sous l'angle de la reconfiguration, Techniques et sciences informatiques, vol.24, issue.4, pp.395-422, 2005.
DOI : 10.3166/tsi.24.395-422

K. Diefendorff, P. K. Dubey, R. Hochsprung, and H. Scales, AltiVec extension to PowerPC accelerates media processing, IEEE Micro, vol.20, issue.2, pp.85-95, 2000.
DOI : 10.1109/40.848475

C. Bobda and R. Hartenstein, Introduction to Reconfigurable Computing : Architectures , algorithms and applications, 2007.
DOI : 10.1007/978-1-4020-6100-4

J. D. Owens, D. Luebke, N. Govindaraju, M. Harris, J. Krüger et al., A Survey of General-Purpose Computation on Graphics Hardware, Eurographics 2005, State of the Art Reports, pp.21-51, 2005.
DOI : 10.1016/j.rti.2005.04.002

D. Sylvain-collange, A. Defour, and . Tisserand, Power Consumption of GPUs from a Software Perspective, Computational Science -ICCS 2009 : 9 th International Conference, pp.914-923, 2009.

P. Heysters, G. Smit, and E. Molenkamp, A Flexible and Energy-Efficient Coarse- Grained Reconfigurable Architecture for Mobile Systems, The Journal of Supercomputing, vol.26, issue.3, pp.283-308, 2003.
DOI : 10.1023/A:1025699015398

R. David, S. Pillement, and O. Sentieys, Low-Power Electronics Design, chapter Energy-Efficient Reconfigurable Processors, pp.20-21, 2005.

G. Sassatelli, L. Torres, P. Benoit, T. Gil, C. Diou et al., Highly scalable dynamically reconfigurable systolic ring-architecture for DSP applications, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.553-558, 2002.
DOI : 10.1109/DATE.2002.998355

J. Becker, T. Pionteck, and M. Glesner, DReAM : A Dynamically Reconfigurable Architecture for Future Mobile Communication Applications. Field-Programmable Logic and Applications : The Roadmap to reconfigurable Computing, pp.312-321, 2000.

H. Zhang, V. Prabhu, V. George, M. Wan, M. Benes et al., A 1-V heterogeneous reconfigurable DSP IC for wireless baseband digital signal processing, IEEE Journal of Solid-State Circuits, vol.35, issue.11, pp.1697-1704, 2000.
DOI : 10.1109/4.881217

K. Compton and S. Hauck, Reconfigurable computing: a survey of systems and software, ACM Computing Surveys, vol.34, issue.2, pp.171-210, 2002.
DOI : 10.1145/508352.508353

I. Kuon, R. Tessier, and J. Rose, FPGA Architecture : Survey and Challenges. Foundations and Trends in Electronic Design Automation, pp.135-253, 2008.

E. Kusse and J. Rabaey, Low-energy embedded FPGA structures, Proceedings of the 1998 international symposium on Low power electronics and design , ISLPED '98, pp.155-160, 1998.
DOI : 10.1145/280756.280873

P. Lysaght, B. Blodget, J. Mason, J. Young, and B. Bridgford, Invited Paper: Enhanced Architectures, Design Methodologies and CAD Tools for Dynamic Reconfiguration of Xilinx FPGAs, 2006 International Conference on Field Programmable Logic and Applications, pp.1-6, 2006.
DOI : 10.1109/FPL.2006.311188

R. Hartenstein, A decade of reconfigurable computing: a visionary retrospective, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, pp.642-649, 2001.
DOI : 10.1109/DATE.2001.915091

H. Singh, M. Lee, G. Lu, F. J. Kurdahi, N. Bagherzadeh et al., MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications, IEEE Transactions on Computers, vol.49, issue.5, pp.465-481, 2000.
DOI : 10.1109/12.859540

D. C. Cronquist, C. Fisher, M. Figueroa, P. Franklin, and C. Ebeling, Architecture design of reconfigurable pipelined datapaths, Proceedings 20th Anniversary Conference on Advanced Research in VLSI, pp.23-40, 1999.
DOI : 10.1109/ARVLSI.1999.756035

R. Balasubramonian, D. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas, Memory Hierarchy Reconfiguration for Energy and Performance in General-Purpose Processor Architectures, MICRO 33 : Proceedings of the 33 rd annual ACM/IEEE International Symposium on Microarchitecture, pp.245-257, 2000.

R. Sangireddy, H. Kim, and A. K. Somani, Low-power high-performance reconfigurable computing cache architectures, IEEE Transactions on Computers, vol.53, issue.10, pp.1274-1290, 2004.
DOI : 10.1109/TC.2004.80

H. David and . Albonesi, Selective Cache Ways : On-Demand Cache Resource Allocation, MICRO 32 : Proceedings of the 32 nd Annual International Symposium on Microarchitecture, pp.248-259, 1999.

M. Powell, S. Yang, B. Falsafi, K. Roy, and T. N. Vijaykumar, Gated-V dd : A Circuit Technique To Reduce Leakage in Deep-Submicron Cache Memories, ISLPED'00 : Proceedings of the 2000 International Symposium on Low Power Electronics and Design, pp.90-95, 2000.

S. Kaxiras, Z. Hu, and M. Martonosi, Cache Decay : Exploiting Generational Behavior to Reduce Cache Leakage Power, ISCA'01 : Proceedings of the 28 th Annual International Symposium on Computer Architecture, pp.240-251, 2001.

K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, Drowsy caches, ISCA'02 : Proceedings of the 29 th Annual International Symposium on Computer Architecture, pp.148-157, 2002.
DOI : 10.1145/545214.545232

C. Zhang, F. Vahid, and W. Najjar, A Highly Configurable Cache Architecture for Embedded Systems, ISCA'03 : Proceedings of the 30 th Annual International Symposium on Computer Architecture, pp.136-146, 2003.

S. K. Tewksbury, K. Devabattini, and V. Gandakota, A parallel DSP testbed with a heterogeneous and reconfigurable network fabric, 1997 Proceedings Second Annual IEEE International Conference on Innovative Systems in Silicon, pp.310-322, 1997.
DOI : 10.1109/ICISS.1997.630274

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, pp.1-51, 2006.
DOI : 10.1145/1132952.1132953

J. Soininen, A. Pelkonen, and J. Roivainen, Configurable memory organisation for communication applications, Proceedings Euromicro Symposium on Digital System Design. Architectures, Methods and Tools, pp.86-93, 2002.
DOI : 10.1109/DSD.2002.1115355

M. F. Sakr, S. P. Levitan, D. M. Chiarulli, B. G. Horne, and C. L. Giles, Predicting Multiprocessor Memory Access Patterns with Learning Models, Proceedins of the fourteenth International Conference on Machine Learning, pp.305-312, 1997.

R. Lakshminarayanan and S. Rajopadhye, Switched memory architectures - moving beyond systolic arrays, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003, pp.28-39, 2003.
DOI : 10.1109/ASAP.2003.1212827

K. Dhiraj, N. R. Pradhan, and . Kamath, RTRAM : Reconfigurable and Testable Multi-bit RAM Design, Proceedings 'New Frontiers in Testing' International Test Conference, pp.263-278, 1988.

S. Bhattacharjee and D. K. Pradhan, LPRAM: A Novel Low-Power High-Performance RAM Design With Testability and Scalability, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.5, pp.637-651, 2004.
DOI : 10.1109/TCAD.2004.826581

G. Talavera, M. Jayapala, J. Carrabina, and F. Catthoor, Address Generation Optimization for Embedded High-Performance Processors: A Survey, Journal of Signal Processing Systems, vol.38, issue.5, pp.271-284, 2008.
DOI : 10.1007/s11265-008-0165-y

S. Yazdani, J. Cambonie, and B. Pottier, Reconfigurable Multimedia Accelerator for Mobile Systems, Proceedings of the IEEE International SoC Conference, pp.287-290, 2008.

S. Syed and Y. , Accès concurrents coordonnés aux mémoires partagées pour les accélérateurs multimédia reconfigurables, 2008.

P. Vason, J. M. Srini, and . Rabaey, Invited Paper : Reconfigurable Clusters of Memory and Processors Architecture for Stream Processing Systems, Proceedings of the 6 th International Conference on High Performance Computing in Asia Pacific Region (HPC'02), 2002.

P. Vason, J. Srini, J. M. Thendean, and . Rabaey, Reconfigurable Memory Module in the RAMP System for Stream Processing, Proceedings of International Symposium on Computer Architecture Workshop, pp.113-125, 2001.

E. Aho, Design and Implementation of Parallel Memory Architectures, 2006.

K. Mai, T. Paaske, N. Jayasena, R. Ho, W. J. Dally et al., Smart Memories : A Modular Reconfigurable Architecture, ISCA'00 : Proceedings of the 27 th International Symposium on Computer Architecture, pp.161-171, 2000.

K. Mai, R. Ho, E. Alon, D. Liu, T. Kim et al., Architecture and circuit techniques for a 1.1-GHz 16-kb reconfigurable memory in 0.18-/spl mu/m CMOS, IEEE Journal of Solid-State Circuits, vol.40, issue.1, pp.261-275, 2005.
DOI : 10.1109/JSSC.2004.837992

K. Mai, Design and Analysis of Reconfigurable Memories, 2005.

R. David, Architecture reconfigurable dynamiquement pour applications mobiles, 2003.

L. Benoit, E. Guével, and . Grâce, Conception VLSI d'un processeur reconfigurable pour des applications faible puissance, 2005.

E. Grâce, D. Chillet, R. David, and O. Sentieys, MOREA : A Memory- Oriented Reconfigurable Embedded Architecture, DASIP'08 : Proceedings of the 2008 Conference on Design and Architectures for Signal and Image Processing, pp.124-131, 2008.

S. Hauck, T. W. Fry, M. M. Hosler, and J. P. Kao, The Chimaera Reconfigurable Functional Unit, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.206-217, 2004.

M. Herz, R. Hartenstein, M. Miranda, E. Brockmeyer, and F. Catthoor, Memory addressing organization for stream-based reconfigurable computing, 9th International Conference on Electronics, Circuits and Systems, pp.813-817, 2002.
DOI : 10.1109/ICECS.2002.1046298

T. Koga, K. Iinuma, A. Hirano, Y. Iijima, and T. Ishiguro, Motion-Compensated Interframe Coding for Video Conference, NTC'81 : Proceedings of the National Telecommunications Conference, pp.5-8, 1981.

H. S. Malvar, A. Hallapuro, M. Karczewicz, and L. Kerofsky, Low-Complexity Transform and Quantization in H, IEEE Transactions on Circuits and Systems for Video Technology, pp.598-603, 2003.

D. Chillet, R. David, E. Grâce, and O. Sentieys, Structure m??moire reconfigurable. Vers une structure de stockage faible consommation, Techniques et sciences informatiques, vol.27, issue.1-2, pp.181-202, 2008.
DOI : 10.3166/tsi.27.181-202