. Bibliographie, L. Abn-+-06-]-rabie-ben-atitallah, S. Bonde, S. Niar, J. Meftali et al., Multilevel MPSoC Performance Evaluation Using MDE Approach, Systemon-Chip , 2006. International Symposium on, pp.1-4, 2006.

M. Auguin, K. B. Chehida, J. Diguet, X. Fornari, A. Fouilliart et al., Partitioning and CoDesign tools & methodology for Reconfigurable Computing : The EPICURE philosophy, International Workshop on Systems, Architectures, Modeling, and Simulation (SA- MOS'03), p.6, 2003.
URL : https://hal.archives-ouvertes.fr/hal-00106323

D. August, J. Chang, S. Girbal, D. Gracia-perez, G. Mouchard et al., UNISIM: An Open Simulation Environment and Library for Complex Architecture Design and Collaborative Development, IEEE Computer Architecture Letters, vol.6, issue.2, pp.45-48, 2007.
DOI : 10.1109/L-CA.2007.12

S. A. Aguiar, F. G. Filho, T. D. Magalhaes, F. Casagrande, and . Hessel, Hellfire: A design framework for critical embedded systems' applications, 2010 11th International Symposium on Quality Electronic Design (ISQED), pp.730-737, 2010.
DOI : 10.1109/ISQED.2010.5450495

M. Araujo, E. Gomes, S. Barros, R. Rigo, G. Azevedo et al., Platform designer : An approach for modeling multiprocessor platforms based on SystemC. Design Automation for Embedded Systems Journal, pp.253-283, 2005.

J. H. Anderson, Y. Kim, and T. Herman, Shared-memory mutual exclusion: major research trends since 1986, Distributed Computing, vol.16, issue.2-3, pp.75-110, 2003.
DOI : 10.1007/s00446-003-0088-6

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.138.1589

A. Corp, Creating Multiprocessor Nios II Systems, ver. 1.3, 2007.

D. Andrews, W. Peck, J. Agron, K. Preston, E. Komp et al., HThreads : A Hardware/Software Co-Designed Multithreaded RTOS Kernel. Emerging Technologies and Factory Automation, ETFA 2005, pp.338-346, 2005.

R. D. Andrews, E. Sass, J. Anderson, W. Agron, J. Peck et al., Achieving Programming Model Abstractions for Reconfigurable Computing. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.16, issue.1, pp.34-44, 2008.

H. Dana and . Ballard, Animate Vision, Artificial Intelligence, vol.48, pp.57-86, 1991.

H. E. Bal, Interprocess Communication and Synchronization based on Message Passing, 1995.

. Bbb-+-03-]-l, D. Benini, D. Bertozzi, N. Bruni, F. Drago et al., SystemC Cosimulation and Emulation of Multiprocessor SoC Designs, IEEE Computer, vol.36, issue.4, pp.53-59, 2003.

G. Berry and G. Gonthier, The Esterel synchronous programming language: design, semantics, implementation, Science of Computer Programming, vol.19, issue.2, pp.87-152, 1992.
DOI : 10.1016/0167-6423(92)90005-V

URL : https://hal.archives-ouvertes.fr/inria-00075711

J. Becker and R. Hartenstein, Configware and morphware going mainstream, Journal of Systems Architecture, vol.49, issue.4-6, pp.127-142, 2003.
DOI : 10.1016/S1383-7621(03)00073-0

[. Buck, S. Ha, E. A. Lee, and D. G. Messerschmitt, Ptolemy: A Framework for Simulating and Prototyping Heterogeneous Systems, Int. Journal in Computer Simulation, vol.4, issue.2, p.0, 1994.
DOI : 10.1016/B978-155860702-6/50048-X

T. Bjerregaard and S. Mahadevan, A survey of research and practices of Network-on-chip, ACM Computing Surveys, vol.38, issue.1, 2006.
DOI : 10.1145/1132952.1132953

D. Andrew, B. J. Birrell, and . Nelson, Implementing remote procedure calls, ACM Trans. Comput. Syst, vol.2, issue.1, pp.39-59, 1984.

[. Bhattacharya, J. Rose, and S. Swan, Language extensions to SystemC, Proceedings of the 44th annual conference on Design automation, DAC '07, pp.35-38, 2007.
DOI : 10.1145/1278480.1278490

Y. Bwh-+-03-]-felice-balarin, H. Watanabe, L. Hsieh, C. Lavagno, A. Passerone et al., Metropolis: an integrated electronic system design environment, Computer, vol.36, issue.4, pp.45-52, 2003.
DOI : 10.1109/MC.2003.1193228

J. P. Calvez, Spécification et conception des systèmes : une méthodologie, Editions Masson, 1990.

J. Chevalier, O. Benny, M. Rondonneau, G. Bois, F. Aboulhamid et al., SPACE: A Hardware/Software SystemC Modeling Platform Including an RTOS, Forum on Design Languages(FDL'03), 2003.
DOI : 10.1007/1-4020-7991-5_6

]. J. Cbr-+-04a, O. Chevalier, M. Benny, G. Rondonneau, M. Bois et al., Languages for System Specification, chapter SPACE : a hardware/software SystemC modeling platform including an RTOS, pp.91-104, 2004.

J. Chevalier, O. Benny, M. Rondonneau, G. Bois, F. Aboulhamid et al., SPACE : a hardware/software SystemC modeling platform including an RTOS. Languages for system specification : Selected contributions on UML, SystemC, System Verilog , mixed-signal systems, and property specification from FDL'03, pp.91-104, 2004.

[. Coppola, S. Curaba, M. Grammatikakis, and G. Maruccia, IPSIM: systemc 3.0 enhancements for communication refinement, 2003 Design, Automation and Test in Europe Conference and Exhibition, p.20106, 2003.
DOI : 10.1109/DATE.2003.1253814

L. Stephen, J. Campbell, R. Chancelier, and . Nikoukhah, Modeling and Simulation in Scilab, ScicOS, 2006.

L. Cai and D. Gajski, Transaction Level Modeling : an Overview, CODES+ISSS '03 : Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, pp.19-24, 2003.

L. Cai and D. Gajski, Transaction-level modeling in system level design. CECS technical report (03-10), 2003.

F. P. Coste, P. L. Hessel, Z. Marrec, M. Sugar, R. Romdhani et al., Multilanguage design of heterogeneous systems, Proceedings of the seventh international workshop on Hardware/software codesign , CODES '99, pp.54-58, 1999.
DOI : 10.1145/301177.301206

URL : https://hal.archives-ouvertes.fr/hal-00008102

. Cor and . Oar-corporation, RTEMS open-source Operating System, the Real-Time Executive for Multiprocessor Systems

[. Corporate, AMBA Specification Revision 2.0, 1999.

. Coware, CoWare SystemC IP TLM Model Library and Virtual Platform Designer tool

A. S. Cassidy, J. M. Paul, and D. E. Thomas, Layered, multi-threaded, high-level performance design, 2003 Design, Automation and Test in Europe Conference and Exhibition, p.10954, 2003.
DOI : 10.1109/DATE.2003.1253728

D. Culler, J. P. Singh, and A. Gupta, Parallel Computer Architecture : A Hardware/Software Approach, The Morgan Kaufmann Series in Computer Architecture and Design, 1998.

D. Ddm-+-07-]-abhijit-davare, T. Densmore, A. Meyerowitz, A. Pinto, G. Sangiovanni-vincentelli et al., A Next-Generation Design Framework for Platform-based Design, 2007.

R. Dömer, A. Gerstlauer, J. Peng, D. Shin, L. Cai et al., System-on-Chip Environment: A SpecC-Based Framework for Heterogeneous MPSoC Design, EURASIP Journal on Embedded Systems, vol.4, issue.2, pp.1-5, 2008.
DOI : 10.1109/TVLSI.2007.915390

C. Haase, F. Grimm, E. Herrera, and . Villar, Bridging MoCs in SystemC Specifications of Heterogeneous Systems, EURASIP Journal on Embedded Systems, pp.1-16, 2008.

D. Edsger-wybe, Cooperating Sequential Processes The Netherlands ., sept 1965 Reprinted in Programming Languages, F. genuys, 1968.

K. Abhijit, A. Deb, J. Jantsch, and . Öberg, System Design for DSP Applications in Transaction Level Modeling Paradigm, DAC '04 : Proceedings of the 41st annual Design Automation Conference, pp.466-471, 2004.

B. P. Dave, G. Lakshminarayana, and N. K. Jha, COSYN, Proceedings of the 34th annual conference on Design automation conference , DAC '97, pp.703-708, 1997.
DOI : 10.1145/266021.266341

L. Dagum and R. Menon, OpenMP: an industry standard API for shared-memory programming, IEEE Computational Science and Engineering, vol.5, issue.1, pp.46-55, 1998.
DOI : 10.1109/99.660313

A. Donlin, Transaction level modeling, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, pp.75-80, 2004.
DOI : 10.1145/1016720.1016742

O. Diessel and G. Wigley, Opportunities for Operating Systems Research in Reconfigurable Computing, 1999.

/. Eet-]-eetimes, . Altera, . [. Give, J. W. Eker, and . Janneck, CAL Language Report Specification of the CAL Actor Language, 2003.

[. Edwards, L. Lavagno, E. A. Lee, and A. Sangiovanni-vincentelli, Design of embedded systems: formal models, validation, and synthesis, Proceedings of the IEEE, vol.85, issue.3, pp.366-390, 1997.
DOI : 10.1109/5.558710

[. Erbas, A. D. Pimentel, M. Thompson, and S. Polstra, A framework for system-level modeling and simulation of embedded systems architectures, EURASIP Jnl. of Embedded System, issue.1, pp.2-2, 2007.

A. [. Filho, C. A. Aguiar, F. P. Marcon, and . Hessel, High-Level Estimation of Execution Time and Energy Consumption for Fast Homogeneous MPSoCs Prototyping, 2008 The 19th IEEE/IFIP International Symposium on Rapid System Prototyping, pp.27-33, 2008.
DOI : 10.1109/RSP.2008.25

C. A. Furia, D. Mandrioli, A. Morzenti, and M. Rossi, Modeling time in computing, ACM Computing Surveys, vol.42, issue.2, pp.1-59, 2010.
DOI : 10.1145/1667062.1667063

. Fpg-+-03-]-f, G. Fummi, P. Perbellini, M. Gallo, S. Poncino et al., A Timing-Accurate Modeling and Simulation Environment for Networked Embedded Systems, pp.42-47, 2003.

[. Ghenassia, Transaction-Level Modeling with Systemc : TLM Concepts and Applications for Embedded Systems, 2006.
DOI : 10.1007/b137175

H. Garavel, C. Helmstetter, O. Ponsini, and W. Serwe, Verification of an industrial SystemC/TLM model using LOTOS and CADP. In Formal Methods and Models for Co-Design, 2009. MEMOCO- DE'09, 7th IEEE/ACM International Conference on, pp.46-55, 2009.
URL : https://hal.archives-ouvertes.fr/inria-00408283

. Gjb-+-00-]-p, C. Gaussier, J. P. Joulain, S. Banquet, and A. Leprêtre, The visual homing problem : an example of robotics/biology cross fertilization, Robotics and Autonomous Systems, vol.30, pp.155-180, 2000.

D. Daniel, R. H. Gajski, and . Kuhn, Guest Editor's Introduction : New VLSI Tools, IEEE Computer, vol.16, issue.12, pp.11-14, 1983.

[. Grotker, S. Liao, G. Martin, and S. Swan, System Design with SystemC, 2002.

C. [. Grandpierre, Y. Lavarenne, and . Sorel, Optimized rapid prototyping for real-time embedded heterogeneous multiprocessors, Proceedings of the seventh international workshop on Hardware/software codesign , CODES '99, 1999.
DOI : 10.1145/301177.301489

M. Gries, Methods for evaluating and covering the design space during early design development, Integration, the VLSI Journal, vol.38, issue.2, pp.131-183, 2004.
DOI : 10.1016/S0167-9260(04)00032-X

T. Grotker, Modeling Software with SystemC 3.0. 6th European SystemC Users Group Presentations, 2002.

[. Gerstlauer, D. Shin, R. Dömer, and D. D. Gajski, System-Level Communication Modeling for Network-on-Chip Synthesis, Proceedings of the 2005 Asia and South Pacific Design Automation Conference , ASP-DAC '05, pp.45-48, 2005.

D. Gajski, F. Vahid, S. Narayan, and J. Gong, SpecSyn: an environment supporting the specify-explore-refine paradigm for hardware/software system design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.6, issue.1, pp.84-100, 1998.
DOI : 10.1109/92.661251

A. Gerstlauer, H. Yu, and D. D. Gajski, RTOS modeling for system level design, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.10130-10136, 2003.
DOI : 10.1109/DATE.2003.1253598

S. [. Gauthier, A. Yoo, and . Jerraya, Automatic Generation and Targeting of Application Specific Operating Systems and Embedded Systems Software, Proceedings of the conference on Design, Automation and Test in Europe (DATE), pp.679-685, 2001.
URL : https://hal.archives-ouvertes.fr/hal-00008084

]. D. Gzd-+-00, J. Gajski, R. Zhu, A. Doemer, S. Gerstlauer et al., SpecC : Specification Language and Methodology, 2000.

Y. Hwang, S. Abdi, and D. Gajski, Cycle-approximate Retargetable Performance Estimation at the Transaction Level, Design Automation and Test in Europe DATE '08, pp.3-8, 2008.

R. Hartenstein, A decade of reconfigurable computing: a visionary retrospective, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001, pp.642-649, 2001.
DOI : 10.1109/DATE.2001.915091

M. A. Hassan, A system Level Modeling Methodology for RTOS Centric Embedded Systems, 2006.

]. P. Hkh04a, S. Hastono, S. A. Klaus, and . Huss, Real-Time Operating System Services for Realistic SystemC Simulation Models of Embedded Systems, Forum on specification and Design Languages, 2004.

]. P. Hkh04b, S. Hastono, S. A. Klaus, and . Huss, An Integrated SystemC Framework for Real-Time Scheduling Assessments In System Level, The 25th IEEE International Real-Time Systems Symposium (RTSS'04), 2004.

[. Halbwachs, F. Lagnier, and C. Ratel, Programming and verifying real-time systems by means of the synchronous data-flow language LUSTRE, IEEE Transactions on Software Engineering, vol.18, issue.9, pp.785-793, 1992.
DOI : 10.1109/32.159839

M. Herlihy, J. B. Eliot, and . Moss, Transactional memory, ACM SIGARCH Computer Architecture News, vol.21, issue.2, pp.289-300, 1993.
DOI : 10.1145/173682.165164

[. He, A. Mok, and C. Peng, Timed RTOS Modeling for Embedded System Design, IEEE Real Time on Embedded Technology and Applications Symposium (RTAS'05), pp.448-457, 2005.

C. [. Hessel, T. Marcon, and . Santos, High Level RTOS Scheduler Modeling for a Fast Design Validation, IEEE Computer Society Annual Symposium on VLSI (ISVLSI '07), pp.461-466, 2007.
DOI : 10.1109/ISVLSI.2007.49

D. Harel and A. Naamad, The STATEMATE semantics of statecharts, ACM Transactions on Software Engineering and Methodology, vol.5, issue.4, pp.293-333, 1996.
DOI : 10.1145/235321.235322

C. A. Hoare, Communicating sequential processes, Communications of the ACM, vol.21, issue.8, pp.666-677, 1978.
DOI : 10.1145/359576.359585

M. A. Hassan, E. Okushi, and M. Imai, A SystemC simulation modeling approach for allocating task precedence graphs to multiprocessors, 2007 7th International Conference on ASIC, pp.1205-1208, 2007.
DOI : 10.1109/ICASIC.2007.4415851

M. Vitor, I. M. Da-rosa, R. Reis, C. A. Planner, A. A. Marcon et al., Abstract RTOS Modeling for Embedded Systems, IEEE International Workshop on Rapid System Prototyping, pp.210-216, 2004.

M. Vitor, C. E. Da-rosa, C. Reif, T. Marcon, and . Santos, Scheduling Refinement in Abstract RTOS Models, ACM Transactions on Embedded Computing Systems, vol.5, issue.2, pp.342-354, 2006.

Y. Hwang, G. Schirner, and S. Abdi, Automatic Generation of Cycle-Approximate TLMs with Timed RTOS Model Support, Analysis, Architectures and Modelling of Embedded Systems IFIP Advances in Information and Communication Technology, pp.66-76, 2009.
DOI : 10.1007/978-1-4615-4515-6

]. M. Hsti05a, K. Hassan, Y. Sakanushi, M. Takeuchi, and . Imai, RTK- Spec TRON : a simulation model of an ITRON based RTOS kernel in SystemC, Design, Automation and Test in Europe, 2005. Proceedings, pp.554-559, 2005.

]. M. Hsti05b, K. Hassan, Y. Sakanushi, M. Takeuchi, and . Imai, Virtual Prototyping of T-Engine Systems Using RTOS Centric Co-Simulation in Systemc, Information and Communications Technology, 2005. Enabling Technologies for the New Knowledge Society : ITI 3rd International Conference on, pp.171-191, 2005.

[. Honda, T. Wakabayashi, H. Tomiyama, and H. Takada, RTOS-centric hardware/software cosimulator for embedded system design, Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis , CODES+ISSS '04, pp.158-163, 2004.
DOI : 10.1145/1016720.1016760

V. Mooney, I. , and G. D. Micheli, Hardware/Software Co- Design of Run-Time Schedulers for Real-Time Systems. Design Automation for Embedded Systems, pp.89-144, 2000.

[. Itrs, Rapport 2009 -System Drivers, 2009.

M. Jamshidi, System-of-Systems Engineering -a Definition, IEEE International Conference on system, Mana and Cybernetics (IEEE SMC'05), 2005.

A. Jantsch, Models of Embedded Computation, Embedded Systems Handbook, 2005.
DOI : 10.1201/9781420038163.ch4

A. Ahmed-amine-jerraya, F. Bouchhima, and . Pétrot, Programming models and HW-SW interfaces abstraction for multi-processor SoC, pp.280-285, 2006.

A. Jantsch and I. Sander, Models of computation and languages for embedded system design, IEE Proceedings - Computers and Digital Techniques, vol.152, issue.2, pp.114-129, 2005.
DOI : 10.1049/ip-cdt:20045098

A. Amine, J. , and W. Wolf, Multiprocessor Systems-on-Chips, chapter The What, Why, and How of MPSoCs, pp.1-18, 2004.

G. Kahn, The Semantics of a Simple Language for Parallel Programming, Information Processing '74 : Proceedings of the IFIP Congress, pp.471-475, 1974.

T. Kogel, M. Doerper, T. Kempf, A. Wieferink, R. Leupers et al., Virtual Architecture Mapping: A SystemC Based Methodology for Architectural Exploration of System-on-Chip Designs, Third International Workshop on Computer Systems : Architectures, Modeling, and SimulationSAMOS'03), pp.138-148, 2004.
DOI : 10.1007/978-3-540-27776-7_15

M. Krause, D. Englert, O. Bringmann, and W. Rosenstiel, Combination of instruction set simulation and abstract RTOS model execution for fast and accurate target software evaluation, Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, CODES/ISSS '08, pp.143-148, 2008.
DOI : 10.1145/1450135.1450168

P. Kohout, B. Ganesh, and B. Jacob, Hardware support for real-time operating systems, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, pp.45-51, 2003.
DOI : 10.1145/944654.944656

A. [. Keutzer, J. Newton, A. Rabaey, and . Sangiovanni-vincentelli, System-level design: orthogonalization of concerns and platform-based design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.19, issue.12, pp.1523-1543, 2000.
DOI : 10.1109/43.898830

P. Kuacharoen, M. Shalan, and V. Mooney, A Configurable Hardware Scheduler for Real-time Systems, Engineering of Reconfigurable Systems and Algorithms (ERSA), pp.96-101, 2003.

M. Loghi, F. Angiolini, D. Bertozzi, L. Benini, and R. Zafalon, Analyzing on-chip communication in a MPSoC environment, Proceedings Design, Automation and Test in Europe Conference and Exhibition, p.20752, 2004.
DOI : 10.1109/DATE.2004.1268966

E. Lenormand and G. Edelin, An industrial perspective : A pragmatic high end signal processing design environment at Thales, SAMOS-III, Computer Systems : Architectures, Modeling, and Simulation, p.145, 2003.

S. Liu and J. Gaudiot, Synchronization Mechanisms on Modern Multi-core Architectures, pp.290-303, 2007.
DOI : 10.1007/978-3-540-74309-5_28

P. [. Leprêtre, J. P. Gaussier, and . Cocquerez, From Navigation to Active Object Recognition, Proceedings of the Sixth International Conference on Simulation for Adaptive Behavior (SAB), pp.266-275, 2000.

L. Simplescalar, SimpleScalar instruction set simulators

E. A. Lee and D. G. Messerschmitt, Synchronous Data Flown, Proceedings of the IEEE, pp.1235-1245, 1987.

J. Lee, V. J. Mooney, I. , A. Daleby, K. Ingström et al., A comparison of the RTU hardware RTOS with a hardware/software RTOS, Proceedings of the 2003 conference on Asia South Pacific design automation , ASPDAC, pp.683-688, 2003.
DOI : 10.1145/1119772.1119925

E. Lubbers and M. Planner, ReconOS: An RTOS Supporting Hard-and Software Threads, 2007 International Conference on Field Programmable Logic and Applications, pp.441-446, 2007.
DOI : 10.1109/FPL.2007.4380686

E. Lubbers and M. Platzner, A portable abstraction layer for hardware threads, 2008 International Conference on Field Programmable Logic and Applications, pp.17-22, 2008.
DOI : 10.1109/FPL.2008.4629901

B. Victor, K. G. Lortz, and . Shin, Semaphore Queue Priority Assignment for Real-Time Multiprocessor Synchronization. Software engineering, 1995.

A. Edward, A. L. Lee, and . Sangiovanni-vincentelli, A Framework for Comparing Models of Computation, IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, vol.17, issue.12, pp.1217-1229, 1998.

T. Makimoto, The Rising Wave of Field Programmability(keynote) In Field-Programmable Logic and Applications, The Roadmap to Reconfigurable Computing, 10th International Workshop (FPL'OO), pp.1-6

R. Le-moigne, J. Calvez, and O. Pasquier, Modélisation et simulation basée sur SystemC des systèmes monopuces au niveau transactionnel pour l'évaluation de performances, 2005.

B. Miramond and J. Delosme, Design Space Exploration for Dynamically Reconfigurable Architectures, Design, Automation and Test in Europe, pp.366-371, 2005.
DOI : 10.1109/DATE.2005.118

URL : https://hal.archives-ouvertes.fr/hal-00181542

M. Maillard, O. Gapenne, . Ph, L. Gaussier, and . Hafemeister, Perception as a Dynamical Sensori-Motor Attraction Basin, Advances in Artificial Life (8th European Conference, ECAL), volume LNAI 3630 of Lecture Note in Artificial Intelligence, pp.37-46, 2005.
DOI : 10.1007/11553090_5

A. Mihal, C. Kulkarni, C. Sauer, K. Vissers, M. Moskewicz et al., Developing architectural platforms: a disciplined approach, IEEE Design & Test of Computers, vol.19, issue.6, pp.6-16, 2002.
DOI : 10.1109/MDT.2002.1047739

F. Muller, F. Muhammad, and M. Auguin, Design of a Hardware Multiprocessor Real-Time Operating System, DATE University Booth (DA- TE'03), 2007.
URL : https://hal.archives-ouvertes.fr/hal-00525314

S. Mohanty, K. Viktor, and . Prasanna, Rapid system-level performance evaluation and optimization for application mapping onto SoC architectures, 15th Annual IEEE International ASIC/SOC Conference, 2002.
DOI : 10.1109/ASIC.2002.1158049

R. Le-moigne, O. Pasquier, and J. Calvez, A generic RTOS model for real-time systems simulation with systemC, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.30082-30087, 2004.
DOI : 10.1109/DATE.2004.1269211

F. José, J. Martínez, and . Torrellas, Speculative synchronization : applying thread-level speculation to explicitly parallel applications, SIGOPS Oper. Syst. Rev, vol.36, pp.18-29, 2002.

/. Microc and . Os-ii, the real-time kernel. CMP Media, 2002.

D. Hugo-de-man, D. Verkest, and . Desmet, Operating System based software generation for Systems-on-Chip, Proceedings of the 37th Conference on Design Automation (DAC'00), pp.396-401, 2000.

J. Madsen, K. Virk, and M. Gonzalez, Abstract RTOS modeling for multiprocessor system-on-chip, Proceedings. 2003 International Symposium on System-on-Chip (IEEE Cat. No.03EX748), pp.147-150, 2003.
DOI : 10.1109/ISSOC.2003.1267741

K. Shankar-mahadevan, J. Virk, and . Madsen, ARTS : A SystemCbased framework for multiprocessor Systems-on-Chip modelling. Design Automation for Embedded Systems, pp.285-311, 1007.

. Ncv-+-03-]-v, P. Nollet, D. Coene, S. Verkest, R. Vernalde et al., Designing an Operating System for a Heterogeneous Reconfigurable SoC, International Parallel and Distributed Processing Symposium (IPDPS), p.174, 2003.

]. G. Nic02 and . Nicolescu, Spécification et validation des systèmes hétérogènes embarqués, 2002.

[. Nollet, D. Verkest, and H. Corporaal, A Safari Through the MPSoC Run-Time Management Jungle, Journal of Signal Processing Systems, vol.11, issue.3, pp.251-268, 1007.
DOI : 10.1007/s11265-008-0305-4

O. Ogawa, S. Bayon-de-noyer, P. Chauvet, K. Shinohara, Y. Watanabe et al., A practical approach for bus architecture optimization at transaction level, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.176-181, 2003.
DOI : 10.1109/DATE.2003.1253825

. Ocp and . Ocpip, Open Core Protocol International Partnership (OCP-IP ). available at : http

]. Omga and . Omg, OMG Model Driven Architecture

]. Omgb and . Omg, Unified Modeling Language. available at :www.uml.org

]. Omg06a and . Omg, Systems Modeling Language (SysML) Specification. OMG document : ad, 2006.

B. Peter and S. Pacheco, Parallel programming with MPI, 1996.

J. H. Posadas, P. Adamez, E. Sanchez, F. Villar, and . Blasco, POSIX modeling in SystemC, Asia and South Pacific Design Automation Conference (ASP-DAC'06), pp.485-490, 2006.

J. H. Posadas, E. Adamez, F. Villar, F. Blasco, and . Escuder, RTOS modeling in SystemC for real-time embedded SW simulation : A POSIX model. Design Automation for Embedded Systems, pp.209-227, 2005.

J. M. Paul, A. Bobrek, J. E. Nelson, J. J. Pieper, and D. E. Thomas, Schedulers as model-based design elements in programmable heterogeneous multiprocessors, Proceedings of the 40th conference on Design automation , DAC '03, pp.408-411, 2003.
DOI : 10.1145/775832.775938

[. Pasricha, N. Dutt, and M. Ben-romdhane, Extending the transaction level modeling approach for fast communication architecture exploration, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.113-118, 2004.
DOI : 10.1145/996566.996603

A. David, J. L. Patterson, and . Hennessy, Computer Organization and Design : The Hardware/software Interface, 1997.

[. Posadas, D. Quijano, E. Villar, and M. Martínez, SCope : SoC Co-simulation and Performance Estimation in SystemC, IEEE/ACM Design, Automation and Test in Europe, 2007.

S. [. Patel, E. Shukla, and R. S. Mednick, A rule-based model of computation for SystemC : integrating SystemC and Bluespec for co-design. In Formal Methods and Models for Co-Design, MEMO- CODE'06. Proceedings. Fourth ACM and IEEE International Conference on, pp.39-48, 2006.

H. Posadas, E. Villar, and F. Blasco, Real-Time Operating System modeling in SystemC for HW/SW co-simulation, DCIS'05 : XX Conference on Design of Circuits and Integrated Systems, 2005.

R. Rajwar and J. R. Goodman, Transactional lock-free execution of lock-based programs, pp.5-17, 2002.

[. Reshadi, P. Mishra, and N. Dutt, Instruction set compiled simulation, Proceedings of the 40th conference on Design automation , DAC '03, pp.758-763, 2003.
DOI : 10.1145/775832.776026

T. Douglas and . Ross, Applications and Extensions of SADT, IEEE Computer, vol.18, issue.4, pp.25-34, 1985.

L. Rioux, T. Saunier, S. Gerard, A. Radermacher, R. De-simone et al., MARTE : A New OMG Profile RFP for the Modeling and Analysis of Real-Time Embedded Systems, DAC 2005 Workshop UML for SoC Design, UML-SoC'05, 2005.

. A. Rspf, S. Rose, J. Swan, J. Pierce, and . Fernandez, OSCI White paper : Transaction Level Modeling in SystemC

G. Schirner and R. Dömer, Introducing Preemptive Scheduling in Abstract RTOS Models using Result Oriented Modeling, Design, Automation and Test in Europe, pp.122-127, 2008.

G. Schirner, A. Gerstlauer, and R. Domer, Abstract, Multifaceted Modeling of Embedded Processors for System Level Design, 2007 Asia and South Pacific Design Automation Conference, pp.384-389, 2007.
DOI : 10.1109/ASPDAC.2007.358016

G. Schirner, A. Gerstlauer, and R. Dömer, Automatic generation of hardware dependent software for MPSoCs from abstract system specifications, 2008 Asia and South Pacific Design Automation Conference, pp.271-276, 2008.
DOI : 10.1109/ASPDAC.2008.4483954

J. Sifakis, Modeling Real-Time Systems ??? Challenges and Work Directions, EMSOFT '01 : Proceedings of the First International Workshop on Embedded Software, pp.373-389, 2001.
DOI : 10.1007/3-540-45449-7_26

J. Sifakis, A Framework for Component-based Construction Extended Abstract, SEFM '05 : Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods, pp.293-300, 2005.

M. Sgroi, L. Lavagno, and A. Sangiovanni-vincentelli, Formal models for embedded system design, IEEE Design & Test of Computers, vol.17, issue.2, pp.14-27, 2000.
DOI : 10.1109/54.844330

]. Y. Sor94 and . Sorel, Massively Parallel Systems with Real Time Constraints, the Algorithm Architecture Adequation Methodology, Proceedings of Conference on Massively Parallel Computing Systems, MPCS'94, 1994.

J. Shirako, D. M. Peixotto, V. Sarkar, W. N. Scherer, and I. , Phasers, Proceedings of the 22nd annual international conference on Supercomputing , ICS '08, pp.277-288, 2008.
DOI : 10.1145/1375527.1375568

]. R. Sri95 and . Srinivasan, RPC : Remote Procedure Call Protocol Specification Version 2, Request for Comments : 1831. available at : http, 1995.

G. Schirner, G. Sachdeva, A. Gerstlauer, and R. Dömer, Embedded Software Development in a System-Level Design Flow, Embedded System Design : Topics, Techniques and Trends IFIP Advances in Information and Communication Technology, pp.289-298, 2007.
DOI : 10.1007/978-0-387-72258-0_25

B. David, D. Skillicorn, and . Talia, Models and Languages for Parallel Computation, ACM Computing Surveys, vol.30, pp.123-169, 1998.

A. L. Sangiovanni-vincentelli and G. Martin, Platform-based design and software design methodology for embedded systems, IEEE Design & Test of Computers, vol.18, issue.6, pp.23-33, 2001.
DOI : 10.1109/54.970421

C. Steiger, H. Walder, and M. Platzner, Operating systems for reconfigurable embedded platforms: online scheduling of real-time tasks, IEEE Transactions on Computers, vol.53, issue.11, pp.1393-1407, 2004.
DOI : 10.1109/TC.2004.99

H. Tomiyama, Y. Cao, and K. Murakami, Modeling Fixed-Priority Preemptive Multi-Task Systems in SpecC, Workshop on Synthesis And System Integration of Mixed Information technologies (SASIMI), pp.93-100, 2001.

S. Andrew, J. R. Tanenbaum, and . Goodman, Structured Computer Organization, 1998.

]. N. Tre95 and . Tredennick, Technology and business : forces driving microprocessor evolution, Proceedings of the IEEE, pp.1641-1652, 1995.

. Ue and . Ue, Projet Européen MORPHEUS (Multi-purpOse dynamically Reconfigurable Platform for intensive HEterogeneoUS processing)

M. Ullmann, M. Hübner, B. Grimm, and J. Becker, On-Demand FPGA Run-Time System for Dynamical Reconfiguration with Adaptive Priorities, Field Programmable Logic and its Applications (FPL), number 3203 in Lecture Notes in Computer Science, pp.454-463, 2004.
DOI : 10.1007/978-3-540-30117-2_47

L. Leung and . Smith, Intel Virtualization Technology, IEEE Computer Journal, vol.38, issue.5, pp.48-56, 2005.

F. Vahid and T. Givargis, Platform tuning for embedded systems design, Computer, vol.34, issue.3, pp.112-114, 2001.
DOI : 10.1109/2.901171

[. Vassiliadis, G. Gaydadjiev, K. Bertels, and E. M. Panainte, The Molen Programming Paradigm, International Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS'03), p.6, 2003.
DOI : 10.1007/978-3-540-27776-7_1

F. Verdier, B. Miramond, M. Maillard, E. Huck, and T. Lefebvre, Using High-Level RTOS Models for HW/SW Embedded Architecture Exploration: Case Study on Mobile Robotic Vision, EURASIP Journal on Embedded Systems, vol.85, issue.3, p.17, 2008.
DOI : 10.1016/S0010-0277(98)00069-9

URL : https://hal.archives-ouvertes.fr/hal-00524580

M. Vetromille, L. Ost, C. A. Marcon, C. Reif, and F. Hessel, RTOS Scheduler Implementation in Hardware and Software for Real Time Applications, Seventeenth IEEE International Workshop on Rapid System Prototyping (RSP'06), pp.163-168, 2006.
DOI : 10.1109/RSP.2006.34

E. Viaud and F. Pecheux, A New Paradigm and Associated Tools for TLM/T Modeling of MPSoCs, 2006 Ph.D. Research in Microelectronics and Electronics, pp.217-220, 2006.
DOI : 10.1109/RME.2006.1689935

URL : https://hal.archives-ouvertes.fr/hal-01338245

H. Walder and M. Platzner, Reconfigurable Hardware Operating Systems : From Design Concepts to Realizations, Engineering of Reconfigurable Systems and Algorithms (ERSA'03), pp.284-287, 2003.

C. Wang, H. Takada, and K. Sakamura, Prioritized Interprocessor Synchronization in an ITRON-MP Implementation, p.48, 1996.

. Xilinx, Virtex 5 familly overview

H. Yu, R. Dömer, and D. Gajski, Embedded software generation from system level design languages, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753), pp.463-468, 2004.
DOI : 10.1109/ASPDAC.2004.1337620

H. Yu, A. Gerstlauer, and D. Gajski, RTOS scheduling in transaction level models, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, pp.31-36, 2003.
DOI : 10.1145/944645.944653

Y. Zhou, W. Chen, Y. Qiu, C. Chen, and . Peng, Reduce SW/HW Migration Efforts by a RTOS in Multi-FPGA Systems, CSCWD, pp.636-645, 2005.
DOI : 10.1007/11686699_64

H. Zabel, W. Müller, and A. Gerstlauer, Accurate RTOS Modeling and Analysis with??SystemC, Hardware-dependent Software, pp.233-260
DOI : 10.1007/978-1-4020-9436-1_9