G. Di-pendina, G. Prenat, K. Torki, L. Volatile, . Non-volatile et al., Kholdoun Torki Publications Ultra Compact Non-volatile Flip-Flop for Low Power Digital Circuits based on Hybrid CMOS/Magnetic Technology, Proceedings of Power And Timing Modeling , Optimisation and Simulation -PATMOS, 2011.

C. Hybrid, Magnetic Process Design Kit and application to the design of high-performances non-volatile logic circuits, ICCAD -International Conference on Computer-Aided Design, 2011.

C. Hybrid, Magnetic Process Design Kit and application to the design of reliable and low-power non-volatile logic circuits Magnetic Process Design Kit for Hybrid CMOS / Magnetic process, 2011.

. Références, The flash memory can be reprogrammed up to 100 times, H8S/2357 Group -H8S/2357F-ZTATTM -H8S/2398F-ZTATTM Hardware Manual -Section 19.6.1. Renesas, pp.2004-2014, 2004.

K. Agarwal, K. Nowka, H. Deogun, and D. Sylvester, Power Gating with Multiple Sleep Modes, 7th International Symposium on Quality Electronic Design (ISQED'06), pp.633-637, 2006.
DOI : 10.1109/ISQED.2006.102

T. Barnes, SKILL, Conference proceedings on 27th ACM/IEEE design automation conference , DAC '90, pp.266-271, 1990.
DOI : 10.1145/123186.123271

B. Dieny, A. Deac, M. Kerekes, O. Redon, J. Nozieres et al., New write schemes for magnetic non-volatile memories: thermally assisted and spin transfer writing, Nanotechnology, 2006.

L. Benini, A. Bogliolo, and G. D. Micheli, A survey of design techniques for system-level dynamic power management, IEEE Transactions on Very Large Scale Integration (VLSI) Systems -Special section on low-power electronics and design, 2000.
DOI : 10.1109/92.845896

L. Berger, Low???field magnetoresistance and domain drag in ferromagnets, Journal of Applied Physics, vol.49, issue.3, pp.2156-2161, 1978.
DOI : 10.1063/1.324716

L. Berger, Domain drag effect in the presence of variable magnetic field or variable transport current, Journal of Applied Physics, vol.50, issue.B3, pp.2137-2139, 1979.
DOI : 10.1063/1.327083

L. Berger, Emission of spin waves by a magnetic multilayer traversed by a current, Physical Review B, vol.54, issue.13, pp.9353-9358, 1996.
DOI : 10.1103/PhysRevB.54.9353

K. Bernstein, C. Chuang, R. Joshi, and R. Puri, Design and CAD challenges in sub-90nm CMOS technologies, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486), pp.129-136, 2003.
DOI : 10.1109/ICCAD.2003.159681

W. C. Black and B. Das, Programmable logic using giant-magnetoresistance and spin-dependent tunneling devices (invited), Journal of Applied Physics, vol.87, issue.9, 2000.
DOI : 10.1063/1.372806

S. Borkar, Design challenges of technology scaling, IEEE Micro, vol.19, issue.4, pp.23-29, 1999.
DOI : 10.1109/40.782564

D. A. Buck, Ferroelectrics for digital information storage and switching, 1952.

B. P. Thompson, Emerging Memories. Technologies and Trends. Number 0306475537, 2002.

B. Calhoun and A. Chandrakasan, Ultra-dynamic voltage scaling using subthreshold operation and local voltage dithering in 90nm cmos. IEEE International Solid-State Circuits Conference, Digest of Technical Papers. ISSCC, vol.110, pp.300-599, 2005.

C. Chappert, A. Fert, and F. V. Dau, The emergence of spin electronics in data storage, Nature Mater, vol.11, pp.813-823, 2007.

W. Chedid and C. Yu, Survey on power management techniques for energy efficient computer systems

W. Cheng and B. Baas, Dynamic voltage and frequency scaling circuits with two supply voltages, 2008 IEEE International Symposium on Circuits and Systems, pp.1236-1239, 2008.
DOI : 10.1109/ISCAS.2008.4541648

O. L. Chua, Memristor-The missing circuit element, IEEE Transactions on Circuit Theory, vol.18, issue.5, p.18, 1971.
DOI : 10.1109/TCT.1971.1083337

P. Dandumont, La flash nor produite en flux tendu. tom's Hardware, source EETimes, 2010.

B. Dieny, V. S. Speriosu, S. S. Parkin, B. A. Gurney, D. R. Wilhoit et al., Giant magnetoresistive in soft ferromagnetic multilayers, Physical Review B, vol.43, issue.1
DOI : 10.1103/PhysRevB.43.1297

L. Engelbrecht, A. Jander, and P. Dhagat, A toggle mram bit modeled in verilog-a, Semiconductor Device Research Symposium, 2009. ISDRS '09. International, pp.1-2, 2009.

G. Estrin, Organization of computer systems-the fixed plus variable structure computer, Western Joint Computer, pp.33-40, 1960.

G. Estrin, Reconfigurable computer origins: the UCLA fixed-plus-variable (F+V) structure computer, IEEE Annals of the History of Computing, vol.24, issue.4, pp.3-9, 2002.
DOI : 10.1109/MAHC.2002.1114865

P. P. Freitas and L. Berger, exchange force between domain walls and electric current in very thin Permalloy films, Journal of Applied Physics, vol.57, issue.4, pp.1266-1269, 1985.
DOI : 10.1063/1.334524

D. Frohman-bentchkowsky, Digest of technical papers. ISSCC, page 80, 1971.

M. Gerardin, Compte rendue de l'académie des sciences, pp.727-1861

I. Giaever, Energy Gap in Superconductors Measured by Electron Tunneling, Physical Review Letters, vol.5, issue.4, pp.147-148, 1960.
DOI : 10.1103/PhysRevLett.5.147

J. Grollier, V. Cros, A. Hamzic, J. M. Georgea, H. Jaffrès et al., Spin-polarized current induced switching in Co/Cu/Co pillars, Applied Physics Letters, vol.78, issue.23, p.3663, 2001.
DOI : 10.1063/1.1374230

J. A. Halderman, S. D. Schoen, N. Heninger, W. Clarkson, W. Paul et al., Lest we remember, Full research paper Appeared in Proc. 17th USENIX Security Symposium (Sec 08), 2008.
DOI : 10.1145/1506409.1506429

M. Hosomi, H. Yamagishi, T. Yamamoto, K. Bessho, Y. Higo et al., A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., pp.459-462, 2005.
DOI : 10.1109/IEDM.2005.1609379

S. Ikeda, J. Hayakawa, Y. Ashizawa, Y. Lee, K. Miura et al., Tunnel magnetoresistance of 604diffusion in cofeb/mgo/cofeb pseudo-spin-valves annealed at high temperature, Applied Physics Letters, vol.93, issue.8, 2008.

I. L. Prejbeanu, W. Kula, K. Ounadjela, R. Sousa, O. Redon et al., Thermally Assisted Switching in Exchange-Biased Storage Layer Magnetic Tunnel Junctions, IEEE Transactions on Magnetics, vol.40, issue.4, 2004.
DOI : 10.1109/TMAG.2004.830395

R. C. Johnson, missing link' memristor created: Rewrite the textbooks? EE- Times, 2008.

M. Julliere, Physics Letters 54A, 1975.

Y. Lu and G. D. Micheli, Comparing system-level power management policies, IEEE Design & Test of Computers, vol.18, issue.2, 2001.

M. Marcoe, History of memory ram. eHow

F. Masuoka, M. Assano, H. Iwahashi, T. Komuro, and S. Tanaka, A new flash eeprom cell using triple polysilicon technology, IEDM Tech. Dig, vol.30, pp.464-467, 1984.

S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, T. Endoh et al., MTJ-based nonvolatile logic-in-memory circuit, future prospects and issues, 2009 Design, Automation & Test in Europe Conference & Exhibition, 2009.
DOI : 10.1109/DATE.2009.5090704

S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, T. Endoh et al., Mtj-based nonvolatile logic-in-memory circuit, future prospects and REFERENCES issues, Design, Automation Test in Europe Conference Exhibition DATE '09, pp.433-435, 2009.

S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, H. Hasegawa et al., Fabrication of a Nonvolatile Full Adder Based on Logic-in-Memory Architecture Using Magnetic Tunnel Junctions, Applied Physics Express, vol.1, 2008.
DOI : 10.1143/APEX.1.091301

L. Mearian, Is nand flash memory a dying technology ? Techworld. Retrieved 2010-02-04, 2010.

M. Elbaraji, V. Javerliac, W. Guo, G. Prenat, and B. Dieny, Dynamic compact model of thermally assisted switching magnetic tunnel junctions, Journal of Applied Physics, vol.106, issue.12, p.123906, 2009.
DOI : 10.1063/1.3259373

Y. Monnet, M. Renaudin, R. Leveugle, S. Dumont, and F. Bouesse, An asynchronous des crypto-processor secured against fault attacks, International Conference on Very Large Scale Integration (VLSI-SOC), pp.21-26, 2005.
URL : https://hal.archives-ouvertes.fr/hal-00102771

J. S. Moodera, L. R. Kinder, T. M. Wong, and R. Meservey, Large Magnetoresistance at Room Temperature in Ferromagnetic Thin Film Tunnel Junctions, Physical Review Letters, vol.74, issue.16, pp.743273-3276, 1995.
DOI : 10.1103/PhysRevLett.74.3273

G. E. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, 1965.
DOI : 10.1109/JPROC.1998.658762

E. B. Myers, D. C. Ralph, J. A. Katine, R. N. Louie, and R. A. Buhrman2, Current-Induced Switching of Domains in Magnetic Multilayer Devices, Science, vol.285, issue.5429, pp.867-870, 1999.
DOI : 10.1126/science.285.5429.867

K. Noda, K. Matsui, K. Takeda, and N. Nakamura, A loadless cmos four transistor sram cell in a 0.18 um logic technology. Electron Devices, IEEE Transactions on, issue.12, pp.482851-2855, 2001.

S. S. Parkin, Giant tunnelling magnetoresistance at room temperature with MgO (100) tunnel barriers, Nature Materials, vol.10, issue.12, pp.862-867, 2004.
DOI : 10.1103/PhysRevLett.87.076102

G. D. Pendina, G. Prenat, and K. Torki, Loadless volatile/non-volatile memory cell, 2011.

D. Ralph and M. Stiles, Spin transfer torques, Journal of Magnetism and Magnetic Materials, vol.320, issue.7, pp.1190-1216, 2008.
DOI : 10.1016/j.jmmm.2007.12.019

J. Reed and M. Bellis, Inventors of the modern computer: The invention of the intel 1103 -the world's first available dram chip, Inventors.about.com. REFERENCES

O. Rossetto, P. Seen, and G. Sicard, Conception analogique: cours de Master CSINA, 2004.

G. Rostky, Remembering the prom knights of intel, EETimes, 2002.

R. Sandeep, N. Deshpande, and A. Aswatha, Design and analysis of a new loadless 4t sram cell in deep submicron cmos technologies, 2nd International Conference on Emerging Trends in Engineering and Technology (ICETET), pp.155-161, 2009.

L. Savtchenko, B. Engel, N. Rizzo, M. Deherrera, and J. Janesky, Method of writing to scalable magnetoresistance random access memory element, 2003.

L. Scheick, S. Guertin, and G. G. Swift, Analysis of radiation effects on individual DRAM cells, IEEE Transactions on Nuclear Science, vol.47, issue.6, pp.472534-2538, 2000.
DOI : 10.1109/23.903804

B. Sheu, D. Scharfetter, P. Ko, and M. Jeng, BSIM: Berkeley short-channel IGFET model for MOS transistors, IEEE Journal of Solid-State Circuits, vol.22, issue.4, pp.558-566, 1987.
DOI : 10.1109/JSSC.1987.1052773

C. Sie, A. Pohm, P. Uttecht, A. Kao, and R. Agrawal, Chalcogenide glass bistable resistivity memory, p.592, 1970.

C. Sie, R. Uttecht, H. Stevenson, J. D. Griener, and K. Raghavan, Electricfield induced filament formation in as-te-ge semiconductor, Journal of Non- Crystalline Solids, vol.2, pp.358-370, 1970.

C. H. Sie, Memory devices using bistable resistivity in amorphous as-te-ge films, 1969.

J. Slonczewski, Current-driven excitation of magnetic multilayers, Journal of Magnetism and Magnetic Materials, vol.159, issue.1-2, pp.1-7, 1996.
DOI : 10.1016/0304-8853(96)00062-5

E. C. Stoner and E. P. Wohlfarth, A Mechanism of Magnetic Hysteresis in Heterogeneous Alloys, Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, vol.240, issue.826, pp.599-642, 1948.
DOI : 10.1098/rsta.1948.0007

B. D. Strukov, S. G. Snider, R. D. Stewart, and R. S. Williams, The missing memristor found, Nature, vol.4, issue.7191, pp.80-83, 2008.
DOI : 10.1038/nature06932

F. Tabrizi, The future of scalable stt-ram as a universal embedded memory

K. Takeda, Y. Aimoto, N. Nakamura, H. Toyoshima, T. Iwasaki et al., A 16 mb 400 mhz loadless cmos four transistor sram macro, Journal of Solid-State Circuits IEEE, issue.11, pp.351631-1640, 2000.

P. M. Tedrow and R. Meservey, Spin-Dependent Tunneling into Ferromagnetic Nickel, Physical Review Letters, vol.26, issue.4, pp.192-195, 1971.
DOI : 10.1103/PhysRevLett.26.192

M. Tsoi, A. G. Jansen, J. Bass, W. Chiang, M. Seck et al., Excitation of a Magnetic Multilayer by an Electric Current, Physical Review Letters, vol.80, issue.19, pp.4281-4284, 1998.
DOI : 10.1103/PhysRevLett.80.4281

J. Wang and B. H. Calhoun, Canary replica feedback for near-drv standby vdd scaling in a 90nm sram, Custom Intergrated Circuits Conference (CICC), 2007.

W. Guo, G. Prenat, V. Javerliac, M. Elbaraji, N. Demestier et al., Spice modeling of magnetic tunnel junctions written by spin-transfer torque, Journal of Physics D: Applied Physics, issue.21, p.43215001, 2010.

R. S. Williams, How We Found The Missing Memristor, IEEE Spectrum, vol.45, issue.12, 2008.
DOI : 10.1109/MSPEC.2008.4687366

D. C. Worledge, Spin flop switching for magnetic random access memory, Applied Physics Letters, vol.84, issue.22, pp.84-224559, 2004.
DOI : 10.1063/1.1759376

D. C. Worledge, Single-domain model for toggle MRAM, IBM Journal of Research and Development, vol.50, issue.1, pp.50-169, 2006.
DOI : 10.1147/rd.501.0069

D. Wouters, Oxide resistive ram: challenges and potential for scaled memory application, International Nanotechnology Conference INC, 2010.

W. Zhao, E. Belhaire, V. Javerliac, C. Chappert, and B. Dieny, A non-volatile flip-flop in magnetic FPGA chip, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006., 2006.
DOI : 10.1109/DTIS.2006.1708702

J. Yang and L. Chen, A new loadless 4 transistor sram cell with a 0.18 um cmos technology, Canadian Conference on Electrical and Computer Engineering Electrical CCECE, pp.538-541, 2007.

Y. Guillemenet, L. Torres, G. Sassatelli, and N. Bruchon, On the Use of Magnetic RAMs in Field-Programmable Gate Arrays, International Journal of Reconfigurable Computing, vol.50, issue.1, 2008.
DOI : 10.1063/1.372806

URL : https://hal.archives-ouvertes.fr/lirmm-00352417

B. Yu, X. Sun, S. Ju, D. Janes, and M. Meyyappan, Chalcogenide-nanowirebased phase change memory, Nanotechnology IEEE Transactions, vol.7, issue.4, pp.496-502, 2008.

W. Zhao, E. Belhaire, and C. Chappert, Spin-mtj based non-volatile flip-flop

W. Zhao, E. Belhaire, V. Javerliac, C. Chappert, and B. Dieny, A non-volatile flip, magnetic fpga chip. Design and Test of Integrated Systems in Nanoscale Technology International Conference, pp.323-326, 2006.