S. Bibliographie, H. Campbell, W. Levinson, S. Arnold, . Asml et al., The science and engineering of microelectronic fabrication In spie handbook of microlithography, micromachining and microfabrication http://www.asml.com/asml/show.do?ctx=6720&rid=11758 Resist processes for hybrid (electron-beam deep ultraviolet) lithography Immersion specific defect mechanisms: findings and recommendations for their control Plasma etching : an introduction, Principles of lithography. Society of Photo Optical Ion projection: the successor to optical lithography (Proceedings Paper), p.3676, 1700.

R. Lebert, K. Bergmann, L. Juschkin, O. Rosier, and W. Neff, Comparison of different source concepts for euvl, Proc. SPIE, p.215, 2001.

A. Wong, Optical imaging in projection microlithography, 2005.
DOI : 10.1117/3.612961

D. Yim, S. Lee, S. Lee, Y. , H. Chung et al., Effect of Degree of Coherence in Optical Lithography Using Dummy Diffraction Mask, Japanese Journal of Applied Physics, vol.35, issue.Part 1, No. 2A, pp.780-785, 1996.
DOI : 10.1143/JJAP.35.780

M. O. Toole and A. Neureuther, Influence of partial coherence on projection printing, Proc. Soc, p.22, 1979.

M. Born, E. Wolf, and A. Bhatia, Principles of optics: electromagnetic theory of propagation, interference and diffraction of light, 2000.
DOI : 10.1017/CBO9781139644181

S. Manakli, Amélioration de la profondeur de champ de la lithographie CMOS sub-0.1µm par des méthodes de double exposition, 2003.

C. Mack, Understanding focus effects in submicron optical lithography: Part 3--methods for depth-of-focus improvement, Optical/Laser Microlithography V, pp.272-284, 1992.
DOI : 10.1117/12.130327

H. Ito, Deep uv resists: evolution and status, Solid State Technology, vol.39, pp.164-174, 1996.

T. Tanaka, N. Hasegawa, H. Shiraishi, and S. Okazaki, A New Photolithography Technique with Antireflective Coating on Resist: ARCOR, Journal of The Electrochemical Society, vol.137, issue.12, p.3900, 1990.
DOI : 10.1149/1.2086324

J. Sturtevant and B. Roman, Antireflection strategies for advanced photolithography, Microlithogr. World, vol.4, issue.4, pp.13-21, 1995.

V. Farys, S. Warrick, C. Chaton, and J. Chapon, ARC stack development for hyper-NA imaging, Optical Microlithography XX, p.65204, 2007.
DOI : 10.1117/12.712882

J. Bossung, Projection printing characterization, Society of Photo-Optical Instrumentation Engineers (SPIE) Conference Series, p.80, 1977.
DOI : 10.1117/12.955357

F. Schellenberg and C. Mack, MEEF in theory and practice, 19th Annual Symposium on Photomask Technology, p.189, 1999.
DOI : 10.1117/12.373313

L. Liebmann, I. Graur, W. Leipold, J. Oberschmidt, D. Grady et al., Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing, Optical Microlithography XII, p.27, 1999.
DOI : 10.1117/12.354344

M. D. Levenson, N. S. Visnawathan, and R. A. Simpson, Improving resolution in photolithography with a phase-shifting mask, IEEE Transactions on Electron Devices, vol.29, issue.12, pp.1828-1836, 1982.
DOI : 10.1109/T-ED.1982.21037

B. Lin, The attenuated phase-shifting mask, SOL. STATE TECHNOL, vol.35, issue.1, pp.43-47, 1992.

M. Mccallum, P. Gabella, G. Shelden, K. Kjoller, and E. Miller, Alternating PSM mask performance: a study of multiple fabrication technique results, Optical Microlithography XIV, 2001.
DOI : 10.1117/12.435771

A. Nitayama, T. Sato, K. Hashimoto, F. Shigemitsu, M. Nakase et al., New phase shifting mask with self-aligned phase shifters for a quarter micron photolithography IEDM'89. Technical Digest Binary halftone chromeless psm technology for lambda/4 optical lithography, Electron Devices Meeting SPIE proceedings series Society of Photo-Optical Instrumentation Engineers, pp.57-60, 1989.

B. Smith, Y. Bourov, L. Fan, N. Zavyalova, F. Lafferty et al., Approaching the numerical aperture of water immersion lithography at 193-nm, Optical Microlithography XVII, pp.273-284, 2004.
DOI : 10.1117/12.537262

T. Horiuchi, Study of Optimum Annular Illumination Conditions by Evaluating the Resolution Limit and Pattern Image Contrast, Japanese Journal of Applied Physics, vol.35, issue.Part 1, No. 12A, pp.6328-6333, 1996.
DOI : 10.1143/JJAP.35.6328

N. Seong, H. Kang, J. Kye, H. , and J. Moon, Pattern Displacement Error under Off Axis Illumination, Japanese Journal of Applied Physics, vol.37, issue.Part 1, No. 12B, pp.6695-6697, 1998.
DOI : 10.1143/JJAP.37.6695

L. Liebmann, S. Mansfield, A. Wong, M. Lavin, W. Leipold et al., TCAD development for lithography resolution enhancement, IBM Journal of Research and Development, vol.45, issue.5, pp.651-665, 2001.
DOI : 10.1147/rd.455.0651

K. Lai, A. Rosenbluth, S. Bagheri, J. Hoffnagle, K. Tian et al., Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22nm logic lithography process, Optical Microlithography XXII, p.72740, 2009.
DOI : 10.1117/12.814680

T. Dam, V. Tolani, P. Hu, K. Baik, L. Pang et al., Source-mask optimization (SMO): from theory to practice, Optical Microlithography XXIII, p.764028, 2010.
DOI : 10.1117/12.848257

M. Drapeau, V. Wiaux, E. Hendrickx, S. Verhaegen, and T. Machida, Double patterning design split implementation and validation for the 32nm node, Design for Manufacturability through Design-Process Integration, p.652109, 2007.
DOI : 10.1117/12.712139

A. Kahng, C. Park, X. Xu, and H. Yao, Layout decomposition for double patterning lithography, 2008 IEEE/ACM International Conference on Computer-Aided Design, pp.465-472, 2008.
DOI : 10.1109/ICCAD.2008.4681616

K. Yuan, J. Yang, and D. Pan, Double patterning layout decomposition for simultaneous conflict and stitch minimization Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.29, issue.2, pp.185-196, 2010.

C. A. Mack, Corner rounding and line end shortening in optical lithography, Proc. SPIE, pp.83-92, 2000.

A. Rosenbusch, A. Hourd, C. Juffermans, H. Kirsch, F. Lalanne et al., New approach to optical proximity correction, 18th Annual BACUS Symposium on Photomask Technology and Management, p.585, 1998.
DOI : 10.1117/12.332856

URL : https://hal.archives-ouvertes.fr/hal-00494502

O. Otto and R. Henderson, <title>Advances in process matching for rules-based optical proximity correction</title>, 16th Annual BACUS Symposium on Photomask Technology and Management, p.425, 1996.
DOI : 10.1117/12.262828

H. Ohnuma, K. Tsudaka, H. Kawahira, and S. Nozawa, Lithography Computer Aided Design Technology for Embedded Memory in Logic, Japanese Journal of Applied Physics, vol.37, issue.Part 1, No. 12B, pp.6686-6688, 1998.
DOI : 10.1143/JJAP.37.6686

N. Cobb, Fast optical and process proximity correction algorithms for integrated circuit manufacturing, 1998.

N. B. Cobb, A. Zakhor, M. Reihani, F. Jahansooz, and V. N. Raghavan, Experimental results on optical proximity correction with variable-threshold resist model, Optical Microlithography X, 1997.
DOI : 10.1117/12.275977

H. H. Hopkins, On the Diffraction Theory of Optical Images, Proceedings of the Royal Society A: Mathematical, Physical and Engineering Sciences, vol.217, issue.1130, pp.408-432, 1953.
DOI : 10.1098/rspa.1953.0071

L. Capodieci, Optimization techniques for VLSI process modeling and TCAD in semiconductor manufacturing, 1997.

F. Dill, A. Neureuther, J. Tuttle, and E. Walker, Modeling projection printing of positive photoresists, Electron Devices, pp.456-464, 2005.
DOI : 10.1109/T-ED.1975.18161

D. Kim, W. Oldham, and A. Neureuther, Development of positive photoresist, Electron Devices IEEE Transactions on, vol.31, issue.12, pp.1730-1736, 2005.

D. Fuard, M. Besacier, and P. Schiavone, Assessment of different simplified resist models, Optical Microlithography XV, 2002.
DOI : 10.1117/12.474507

URL : https://hal.archives-ouvertes.fr/hal-00022164

T. Brunner and R. Ferguson, Approximate models for resist processing effects, Proceedings of SPIE, p.198, 1996.
DOI : 10.1117/12.240906

Y. Granik and N. Cobb, New process models for OPC at sub-90-nm nodes, Optical Microlithography XVI, p.1166, 2003.
DOI : 10.1117/12.485321

D. Fuard, M. Besacier, and P. Schiavone, Validity of the diffused aerial image model: an assessment based on multiple test cases, Optical Microlithography XVI, p.1536, 2003.
DOI : 10.1117/12.485516

URL : https://hal.archives-ouvertes.fr/hal-00022155

R. Lee, Scanning electron microscopy and x-ray microanalysis, 1993.

C. and L. Gressus, Microscopie electronique a balayage

J. Belledent, J. Word, Y. Trouiller, C. Couderc, C. Miramond et al., Correction of long-range effects applied to the 65-nm node, Photomask and Next-Generation Lithography Mask Technology XII, p.202, 2005.
DOI : 10.1117/12.617433

M. Kupers, P. Klingbeil, J. Tschischgale, S. Buhl, and F. Hempel, Scanner matching optimization, Metrology, Inspection, and Process Control for Microlithography XXIII, p.72723, 2009.
DOI : 10.1117/12.813668

V. Kontrimas and A. Verikas, Tracking of doubtful real estate transactions by outlier detection methods: a comparative study

M. Ahmed, S. Yamany, N. Mohamed, A. Farag, and T. Moriarty, A modified fuzzy c-means algorithm for bias field estimation and segmentation of MRI data, IEEE Transactions on Medical Imaging, vol.21, issue.3, p.193, 2002.
DOI : 10.1109/42.996338

E. Jordaan and G. Smits, Robust outlier detection using svm regression, IEEE International Joint Conference on Neural Networks, 2004. Proceedings, pp.2017-2022, 2004.

S. Miyamoto, H. Ichihashi, and K. Honda, Algorithms for fuzzy clustering: methods in cmeans clustering with applications, 2008.

X. Zhang, H. Zuo, and Y. Yu, Mahalanobis-distance image segmentation based on twodimensional histogram, Proceedings of SPIE, p.264, 2001.

S. Morgenthaler, Introduction a la statistique. PPUR presses polytechniques, 2007.

S. Tuffery, Data mining et statistique décisionnelle: l'intelligence des données, Editions Ophrys, 2007.

A. Ukkelberg and O. Borgen, Outlier detection by robust alternating regression, Analytica Chimica Acta, vol.277, issue.2, pp.489-494, 1993.
DOI : 10.1016/0003-2670(93)80460-3

F. Pukelsheim, The Three Sigma Rule, 1994.

I. Laufer, G. Eytan, and O. Dror, Three-dimensional aspects of the shrinking phenomenon of ArF resist, Metrology, Inspection, and Process Control for Microlithography XVI, p.841, 2002.
DOI : 10.1117/12.473531

W. Grobman, R. Boone, C. Philbin, and J. B. , Reticule enhancement technology trends: resource and manufacturability implications for the implementation of physical designs, International symposium on physical design, pp.10-1145, 2001.

J. Foucher, P. Faurie, A. Foucher, M. Cordeau, and V. Farys, The measurement uncertainty challenge for the future technological nodes production and development, Metrology, Inspection, and Process Control for Microlithography XXIII, p.72721, 2009.
DOI : 10.1117/12.812446

N. W. Dahlen and M. Osborn, Tip characterization and surface reconstruction of complex structures with critical dimension atomic force microscopy, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.23, issue.6, pp.2297-2303, 2005.
DOI : 10.1116/1.2101601

B. D. Bunday, M. Bishop, D. Mccormack, J. S. Villarrubia, A. Vladar et al., Determination of optimal parameters for CD-SEM measurement of line-edge roughness, Metrology, Inspection, and Process Control for Microlithography XVIII, pp.515-533, 2004.
DOI : 10.1117/12.535926

J. G. Wolter and T. Bayer, Micromachined silicon sensors for scanning force microscopy, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.9, issue.2, pp.1353-1357, 1991.
DOI : 10.1116/1.585195

P. Bressoux, Modelisation statistique appliquee aux sciences sociales, p.416, 2008.
DOI : 10.3917/dbu.bress.2010.01

D. Chernoff, E. Buhr, D. Burkhead, and A. Diener, Picometer-scale accuracy in pitch metrology by optical diffraction and atomic force microscopy, Metrology, Inspection, and Process Control for Microlithography XXII, p.115, 2008.
DOI : 10.1117/12.768429

N. Rana, C. Archie, W. Lu, and B. Banke, The measurement uncertainty challenge of advanced patterning development, Metrology, Inspection, and Process Control for Microlithography XXIII, p.727203, 2009.
DOI : 10.1117/12.814170

R. Schlief, Effect of data selection and noise on goodness of OPC model fit, Optical Microlithography XVIII, 2004.
DOI : 10.1117/12.598442

L. Wasserman, All of statistics, 2004.
DOI : 10.1007/978-0-387-21736-9

W. Press, B. Flannery, S. Teukolsky, and W. Vetterling, Numerical recipes, chap 15, 2007.

H. Akaike, A new look at the statistical model identification, IEEE Transactions on Automatic Control, vol.19, issue.6, pp.716-723, 1974.
DOI : 10.1109/TAC.1974.1100705

G. Schwarz, Estimating the dimension of a model The annals of statistics, pp.461-464, 1978.

E. Ronchetti and R. Staudte, A Robust Version of Mallow's Cp, 1994.

E. Ronchetti, Robust model selection in regression, Statistics & Probability Letters, vol.3, issue.1, pp.21-23, 1985.
DOI : 10.1016/0167-7152(85)90006-9

P. Shi and C. Tsai, A note on the unification of the Akaike information criterion, Journal of the Royal Statistical Society: Series B (Statistical Methodology), vol.60, issue.3, pp.551-558, 1998.
DOI : 10.1111/1467-9868.00139

F. Mutua, The use of the Akaike Information Criterion in the identification of an optimum flood frequency model, Hydrological Sciences Journal, vol.39, issue.3, pp.235-244, 1994.
DOI : 10.1016/0005-1098(72)90008-8

R. Mccleery, R. Lopez, N. Silvy, and D. Gallant, Fox Squirrel Survival in Urban and Rural Environments, Journal of Wildlife Management, vol.46, issue.1, 2008.
DOI : 0006-3657(1999)046[5120:PMSEFP]2.0.CO;2

S. Bouabana and S. Maeda, Mod??lisation des mouvements articulatoires par la m??thode de la LPC multi-impulsionnelle, Le Journal de Physique IV, vol.04, issue.C5, pp.5-5, 1994.
DOI : 10.1051/jp4:1994593

C. Hurvich and C. Tsai, Model Selection for Extended Quasi-Likelihood Models in Small Samples, Biometrics, vol.51, issue.3, pp.1077-1084, 1995.
DOI : 10.2307/2533006

L. Wasserman, All of statistics, Model selection section: 13.6 pg 218, 2004.

J. Droesbeke, J. Fine, and G. Saporta, Plans d'experiences: applications a l'entreprise, 1997.

D. Dugué and M. Girault, Analyse de variance et plans d'experience. Dunod, 1969.

J. Gibbons, Nonparametric statistical inference, volume 65 of statistics, textbooks and monographs, 1985.

R. Hogg and J. Ledolter, Engineering statistics, 1987.

Y. Martin and H. Wickramasinghe, Method for imaging sidewalls by atomic force microscopy, Applied Physics Letters, vol.64, issue.19, pp.2498-2500, 2009.
DOI : 10.1063/1.111578

R. L. Jones, J. D. Byers, and W. Conley, Top-down versus cross-sectional SEM metrology and its impact on lithography simulation calibration, Metrology, Inspection, and Process Control for Microlithography XVII, pp.663-673, 2003.
DOI : 10.1117/12.485041

E. Gelenbe, T. Koçak, and R. Wang, Wafer surface reconstruction from top???down scanning electron microscope images, Microelectronic Engineering, vol.75, issue.2, pp.216-233, 2004.
DOI : 10.1016/j.mee.2004.05.006

B. B. Villarrubia and A. E. Vladar, Dimensional metrology of resist lines using a SEM model-based library approach, Metrology, Inspection, and Process Control for Microlithography XVIII, pp.199-209, 2004.
DOI : 10.1117/12.536871

C. Q. Binning, Atomic Force Microscope, Physical Review Letters, vol.56, issue.9, pp.930-933, 1986.
DOI : 10.1103/PhysRevLett.56.930

A. S. Cleveland and B. Anczykowski, Energy dissipation in tapping-mode atomic force microscopy, Applied Physics Letters, vol.72, issue.20, pp.2613-2615, 1998.
DOI : 10.1063/1.121434

P. H. Rugar, Atomic Force Microscopy, Physics Today, vol.43, issue.10, pp.23-30, 1990.
DOI : 10.1063/1.881238

C. Q. Tortonese and R. C. Barett, Atomic resolution with an atomic force microscope using piezoresistive detection, Applied Physics Letters, vol.62, issue.8, pp.834-836, 1993.
DOI : 10.1063/1.108593

D. G. Griffith, Dimensional metrology with scanning probe microscopes, Journal of Applied Physics, vol.74, issue.9, p.83, 1993.
DOI : 10.1063/1.354175

K. K. Nagase and H. Namatsu, Critical Dimension Measurement in Nanometer Scale by Using Scanning Probe Microscopy, Japanese Journal of Applied Physics, vol.35, issue.Part 1, No. 7, pp.4166-4174, 1996.
DOI : 10.1143/JJAP.35.4166

H. W. Martin, Method for imaging sidewalls by atomic force microscopy, Applied Physics Letters, vol.64, issue.19, pp.2498-2500, 1994.
DOI : 10.1063/1.111578

G. P. Denk, Local electrical dissipation imaged by scanning force microscopy, Applied Physics Letters, vol.59, issue.17, p.2171, 1991.
DOI : 10.1063/1.106088

M. V. , -. P. Yang, and Y. Cheng, Attractive-mode atomic force microscopy with optical detection in an orthogonal cantiliver/sample configuration, J. Appl. Phys, vol.71, pp.2499-2502, 1992.

M. B. , -. V. Dixson, and A. Guerry, Toward traceability for at-line afm dimensional metrology, Proc. SPIE, pp.313-335, 2002.

M. B. , -. V. Dixson, and A. Guerry, Implementation of reference measurement system using cd-afm, Proc. SPIE, pp.150-165, 2003.