S. Mutoh, Y. Shigematsu, S. Gotoh, and . Konaka, Design method of MTCMOS power switch for low-voltage high-speed LSIs, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198), pp.113-116, 1999.
DOI : 10.1109/ASPDAC.1999.759726

]. S. Natarajan, A 32nm Logic Technology Featuring 2 nd -Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171µm² SRAM Cell Size in a 291Mb Array, 2008.

D. Siva-narendra, V. Antoniadis, and . De, Impact of Using Adaptive Body Bias to Compensate Die-to-die Vt Variation on Within-die Vt variation, ISLPED, pp.229-232, 1999.

]. Y. Oowaki, A Sub-0.1µm Circuit Design with Substrate-over- Biasing, ISSCC, pp.88-90, 1998.

]. Roy, S. Mukhopadhyay, and H. Mahmoodi-meimand, Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits, Proceedings of the IEEE, pp.305-327, 2003.
DOI : 10.1109/JPROC.2002.808156

]. Sirisantana, L. Wei, and K. Roy, High-performance low-power CMOS circuits using multiple channel length and multiple oxide thickness, Proceedings 2000 International Conference on Computer Design, pp.227-232, 2000.
DOI : 10.1109/ICCD.2000.878290

P. S. Meeta-srivastav, H. Rao, and . Bhatnagar, Power reduction technique using multi-Vt libraries, Fifth International Workshop on System-on-Chip for Real-Time Applications (IWSOC'05), pp.363-367, 2005.
DOI : 10.1109/IWSOC.2005.92

]. Thomas, M. Belleville, and R. Ferrant, SRAM Memory Cell Leakage Reduction Design Techniques in 65nm Low Power PD-SOI CMOS, ICICDT, pp.51-54, 2008.

]. S. Thompson, A 90nm Logic Technology Featuring 50nm Strained Silicon Channel Transistors, 7 layers of Cu Interconnects, Low k ILD and 1um² SRAM Cell, IEDM, pp.61-64, 2002.

]. T. Tomimatsu, Cost-Effective 28-nm LSTP CMOS using Gate-First Metal Gate/High-k Technology, Symposium on VLSI Technology Digest of Technical Papers, pp.36-37, 2009.

J. Tschanz, Adaptive Body Bias for Reducing Impacts of Die-to- Die and Within-Die Parameter Variations on Microprocessor Frequency and Leakage, ISSCC, vol.25, issue.7, 2002.

J. Tschanz, Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage, IEEE Journal of Solid-State Circuits, vol.37, issue.11, pp.1396-1402, 2002.
DOI : 10.1109/JSSC.2002.803949

]. Tschanz, S. Narendra, A. Keshavarzi, V. De-]-takayasu, A. Sakurai et al., Adaptive Circuit Techniques to Minimize Variation Impacts on Microprocessor 6.5. Références bibliographiques Fully-Depleted SOI CMOS Circuits and Technology for Ultralow-Power Applications Références bibliographiques [Arm " 07] Site Internet officiel de la société ARM http://www.arm.com/products/processors, a9.php [Arnaud " 09] F. Arnaud et al., Competitive and Cost Effective high-k based 28nm CMOS Technology for Low Power Applications, pp.651-654, 2009.

]. F. Boeuf, 0.248µm² and 0.334µm² Conventional Bulk 6T-SRAM bit cells for 45nm node Low Cost ? General Purpose Applications, Symposium on VLSI Technology Digest of Technical Papers, pp.130-131, 2005.
URL : https://hal.archives-ouvertes.fr/jpa-00232357

K. Takeda, A 16Mb 400MHz Loadless CMOS Four-Transistor SRAM Macro, ISSCC, pp.264-265, 2000.

R. Osada and . Tsuchiya, Masatada Horiuchi, Shin " ichiro Kimura and Takayuki Kawahara, Low Power SRAM Menu for SOC Application Using Yin-Yang-Feedback Memory Cell Technology, Symposium on VLSI Circuits Digest of Technical Papers, pp.288-291, 2004.

P. Noel, O. Thomas, M. Jaud, O. Weber, T. Poiroux et al., Multi-<formula formulatype="inline"><tex Notation="TeX">$V_{T}$</tex></formula> UTBB FDSOI Device Architectures for Low-Power CMOS Circuit, IEEE Transactions on Electron Devices, vol.58, issue.8, pp.2473-2482, 2011.
DOI : 10.1109/TED.2011.2155658

C. Pierre-emmanuel-gaillardon, M. Haykel-ben-jamaa, P. Morel, J. Noël, F. Clermidy et al., Can We Go Towards True 3-D Architectures? Ultra-Thin Body and Buried Oxide (UTBB) FDSOI technology with low variability and power management capability, J. Mazurier, pp.282-283, 2011.

J. Thomas, M. Noel, O. Belleville, and . Faynot, Low power UTBOX and Back Plane (BP) FDSOI technology for 32nm node and below

F. Weber, A. Andrieu, S. Bajolet, M. Haendler, X. Cassé et al., Invited paper at ICICDT 2011 " Work-function Engineering in Gate First Technology for Multi-V T Dual-Gate FDSOI CMOS on UTBOX

B. Bourdelle, F. Nguyen, T. Boeuf, O. Skotnicki, O. Faynot et al., Planar Fully Depleted SOI Technology: a powerful architecture for the 20nm node and beyond, pp.58-61

L. Tosti, C. Brévard, P. Tabone, S. Gaud, T. Barraud et al., UT2B-FDSOI Device Architecture Dedicated to Low Power Design Techniques, J.-P, pp.50-53, 2010.

O. Noel, M. Thomas, C. Jaud, P. Fenouillet-beranger, P. Rivallin et al., Efficient Multi-V T FDSOI technology with UTBOX for low power circuit design, C, pp.210-213, 2010.

F. Nguyen, S. Boedt, F. Denorme, O. Boeuf, T. Faynot et al., Symposium on VLSI Technology Low Leakage and Low Variability Ultra-Thin Body and Buried Oxide (UT2B) SOI Technology for 20nm Low Power CMOS and Beyond, pp.65-66, 2010.

. Faynot, Symposium on VLSI Technology 32nm and beyond Multi-V T Ultra-Thin Body and BOX FDSOI: From Device to, pp.57-58, 2010.

C. Amara, P. Fenouillet-beranger, L. Perreau, S. Pham-nguyen, F. Denorme et al., Hybrid FDSOI/Bulk high-k/Metal gate platform for Low Power (LP) multimedia technology, pp.1703-1706

I. Skotnicki, Ultrathin Body and BOX SOI and sSOI for Low Power Application at the 22nm technology node and below, pp.667-670, 2009.

J. Buj, O. Noel, O. Thomas, P. Rozeau, L. Perreau et al., Invited paper at SSDM 2009 " A Simple and Efficient Concept for Setting up Multi-V T Devices in Thin BOx Fully- Depleted SOI Technology

A. Scheiblin and . Amara, Robust Multi-V T 4T SRAM Cell in 45nm Thin BOx Fully-Depleted SOI Technology with Ground Plane, pp.137-140, 2009.

. Brevets, Circuit intégré en technologie FDSOI avec partage de caisson et moyens de polarisation des plans de masse (BP) de dopage opposés présents dans un même caisson, J.-P