C. A. Gottsho, D. T. Jurgensen, and . Vitkavage, Microscopic uniformity in plasma etching, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.10, issue.5, p.2133, 1992.
DOI : 10.1116/1.586180

E. S. Shaqfeh and C. W. Jurgensen, Simulation of reactive ion etching pattern transfer, Journal of Applied Physics, vol.66, issue.10, p.4664, 1989.
DOI : 10.1063/1.343823

C. Hedlund, H. Blom, and S. Berg, Microloading effect in reactive ion etching, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.12, issue.4
DOI : 10.1116/1.578990

S. C. Mcnevin, K. V. Guinn, and J. Taylor, Chemical challenge of sub-micron oxide etching

I. Gabriel, I. D. Font, J. Boyd, and . Balakrishnan, Effects of wall recombination on the etch rate and plasma composition of an etch reactor

A. O. James, J. Neill, and . Singh, Role of the chamber wall in low-pressure high-density etching plasmas, J. Appl. Phys, vol.77, p.497, 1995.

J. W. Coburn and H. F. Winters, Ion??? and electron???assisted gas???surface chemistry???An important effect in plasma etching, Journal of Applied Physics, vol.50, issue.5, pp.3189-3196, 1979.
DOI : 10.1063/1.326355

J. W. Coburn and H. F. Winters, Plasma etching???A discussion of mechanisms, Journal of Vacuum Science and Technology, vol.16, issue.2, p.391, 1979.
DOI : 10.1116/1.569958

H. F. Winters, J. W. Coburn, and T. J. Chuang, Surface processes in plasma-assisted etching environments, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.1, issue.2, p.469, 1983.
DOI : 10.1116/1.582629

D. L. Flamm, V. M. Donnelly, and J. A. Mucha, The reaction of fluorine atoms with silicon, Journal of Applied Physics, vol.52, issue.5, pp.3633-3639, 1981.
DOI : 10.1063/1.329098

D. L. Flamm, Mecanisms of Silicon Etching in Fluorine and Chlorine-Containing Plasmas, Pure and Appl. Chem, vol.62, p.1709, 1990.

J. M. Harper, Ion Beam Etching Plasma Etching -An Introduction, pp.391-423, 1989.

P. Sigmund, Theory of Sputtering. I. Sputtering Yield of Amorphous and Polycrystalline Targets, Physical Review, vol.184, issue.2, pp.383-416, 1969.
DOI : 10.1103/PhysRev.184.383

N. Matsunami, Y. Yamamura, Y. Itikawa, and E. H. Tawara, Energy dependence of the ion-induced sputtering yields of monotomic solids, At. Data Nucl. Data Tables, vol.31, issue.1, 1984.

C. Steinbrüchel, Universal energy dependence of physical and ion???enhanced chemical etch yields at low ion energy, Applied Physics Letters, vol.55, issue.19, pp.1960-1978, 1989.
DOI : 10.1063/1.102336

G. C. Schwartz, L. B. Zielinski, and T. Schopen, Reactive ion etching, «Etching», Electrochem. Soc. Symp. Series, pp.122-132, 1976.

H. Hagstrom, Theory of Auger Neutralization of Ions at the Surface of a Diamond-Type Semiconductor, Physical Review, vol.122, issue.1, pp.83-113, 1961.
DOI : 10.1103/PhysRev.122.83

S. Fang, C. Chiang, D. Fraser, B. Lee, P. Keswick et al., The role of polymer deposited in differential dielectric etch, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.14, issue.3
DOI : 10.1116/1.580274

M. J. Sowa, M. E. Littau, V. Pohray, and J. L. Cecchia, Fluorocarbon polymer deposition kinetics in a low-pressure, high-density, inductively coupled plasma reactor, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.18, issue.5, p.2122, 2000.
DOI : 10.1116/1.1286396

T. E. Standaert, C. Hedlund, E. A. Joseph, G. S. Oehrlein, and T. J. Dalton, Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.22, issue.1, p.53, 2004.
DOI : 10.1116/1.1626642

K. V. Guinn, C. C. Cheng, and V. M. Donnelly, Quantitative chemical topography of polycrystalline Si anisotropically etched in Cl2/O2 high density plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.13, issue.2, p.214, 1995.
DOI : 10.1116/1.588355

F. H. Bell, Développement et caractérisation des procédés de gravure de la grille en polysilicium dans une source plasma haute densité

S. Tanuma, C. J. Powell, and D. R. Penn, Calculations of electron inelastic mean free paths, Surface and Interface Analysis, vol.10, issue.1, pp.911-923, 1991.
DOI : 10.1002/sia.1997

A. R. Godfrey, S. J. Ullal, L. B. Braly, E. A. Edelberg, V. Vahedi et al., New diagnostic method for monitoring plasma reactor walls: Multiple total internal reflection Fourier transform infrared surface probe, Review of Scientific Instruments, vol.72, issue.8, p.3260, 2001.
DOI : 10.1063/1.1382638

G. Cunge and J. P. Booth, CF2 production and loss mechanisms in fluorocarbon discharges: Fluorine-poor conditions and polymerization, Journal of Applied Physics, vol.85, issue.8, p.3952, 1999.
DOI : 10.1063/1.370296

S. Xu, Z. Sun, X. Qian, J. Holland, and D. Podlesnik, Characteristics and mechanism of etch process sensitivity to chamber surface condition, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.19, issue.1, p.166, 2001.
DOI : 10.1116/1.1330266

J. A. Neill and J. Singh, Role of the chamber wall in low-pressure high-density etching plasmas, J. Appl. Phys, vol.77, p.497, 1995.

E. Meeks, J. W. Shon, Y. Ra, and P. Jones, Effects of atomic chlorine wall recombination: Comparison of a plasma chemistry model with experiment, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.13, issue.6, p.2884, 1995.
DOI : 10.1116/1.579608

G. I. Font, I. D. Boyd, and J. Balakrishnan, Effects of wall recombination on the etch rate and plasma composition of an etch reactor, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.16, issue.4, p.2057, 1998.
DOI : 10.1116/1.581310

S. J. Ullal, A. R. Godfrey, E. A. Edelberg, L. B. Braly, V. Vahedi et al., Maintaining reproducible plasma reactor wall conditions: SF6 plasma cleaning of films deposited on chamber walls during Cl2/O2 plasma etching of Si, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.20, issue.4, p.43, 2002.
DOI : 10.1116/1.1479733

O. Joubert, G. Cunge, B. Pelissier, L. Vallier, M. Kogelshatz et al., Monitoring chamber walls coating deposited during plasma processes: Application to silicon gate etch processes, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.22, issue.3, p.553, 2004.
DOI : 10.1116/1.1697484

URL : https://hal.archives-ouvertes.fr/hal-00390552

Y. Wang and J. K. Olthoff, Ion energy distributions in inductively coupled radio-frequency discharges in argon, nitrogen, oxygen, chlorine, and their mixtures, Journal of Applied Physics, vol.85, issue.9, pp.157-168, 1999.
DOI : 10.1063/1.370138

E. Kawamura, V. Vahedi, M. A. Lieberman, and C. K. Birdsall, Ion energy distributions in rf sheaths; review, analysis and simulation, Plasma Sources Science and Technology, vol.8, issue.3, 1999.
DOI : 10.1088/0963-0252/8/3/202

-. Du-chapitre-v-1, H. F. Winters, and J. W. Coburn, Surface science aspects of etching mechanism, Surf. Sci. Rep, vol.14, p.161, 1992.

D. L. Flamm and V. M. Donnelly, The design of plasma etchants, Plasma Chemistry and Plasma Processing, vol.36, issue.2, p.317, 1981.
DOI : 10.1007/BF00565992

F. H. Bell, O. Joubert, and E. L. Vallier, Polysilicon gate etching in high density plasmas. II. X-ray photoelectron spectroscopy investigation of silicon trenches etched using a chlorine-based chemistry, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.14, issue.3, p.1796, 1996.
DOI : 10.1116/1.588559

T. D. Bestwick and G. S. Oehrlein, Reactive ion etching of silicon using bromine containing plasmas, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.8, issue.3, p.1696, 1990.
DOI : 10.1116/1.576832

C. C. Cheng, K. V. Guinn, and V. M. Donnelly, Mechanism for anisotropic etching of photoresist-masked, polycrystalline silicon in HBr plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.14, issue.1, p.85, 1996.
DOI : 10.1116/1.588439

M. Haverlag, G. S. Oehrlein, and D. Vender, Sidewall passivation during the etching of poly-Si in an electron cyclotron resonance plasma of HBr, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.12, issue.1, p.96, 1994.
DOI : 10.1116/1.587115

M. Tuda, K. Shintani, and H. Ootera, Profile evolution during polysilicon gate etching with low-pressure high-density Cl2/HBr/O2 plasma chemistries, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.19, issue.3, p.711, 2001.
DOI : 10.1116/1.1365135

F. H. Bell and O. Joubert, Polysilicon gate etching in high density plasmas. V. Comparison between quantitative chemical analysis of photoresist and oxide masked polysilicon gates etched in HBr/Cl2/O2 plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.15, issue.1, p.88, 1997.
DOI : 10.1116/1.589259

F. H. Bell, Développement et caractérisation des procédés de gravure de la grille en polysilicium dans une source plasma haute densité, Thèse de l'université de Nantes, 1996.

R. P. Vasquez and F. J. Grunthaner, Intensity analysis of XPS spectra to determine oxide uniformity: Application to SiO2/Si interfaces, Surface Science, vol.99, issue.3, p.681, 1980.
DOI : 10.1016/0039-6028(80)90561-0

S. Tanuma, C. J. Powell, and S. Pen, Calculations of electron inelastic mean free paths for 31 materials, Surface and Interface Analysis, vol.24, issue.11, p.577, 1988.
DOI : 10.1002/sia.740111107

M. F. Hochella and J. A. Carim, A reassessment of electron escape depths in silicon and thermally grown silicon dioxide thin films, Surface Science, vol.197, issue.3, p.260, 1988.
DOI : 10.1016/0039-6028(88)90625-5

Z. H. Lu and J. P. Mccaffrey, SiO2 film thickness metrology by x-ray photoelectron spectroscopy, Applied Physics Letters, vol.71, issue.19, p.2764, 1997.
DOI : 10.1063/1.120438

R. Saoudi, G. Hollinger, A. Gagnaire, P. Ferret, and M. Pitaval, « Détermination des épaisseurs de films très minces de SiO sur silicium par microscopie électronique en transmission, ellipsométrie spectroscopique et spectroscopie de photoélectrons, J. Phys. III, vol.3, issue.7, p.1479, 1993.
DOI : 10.1051/jp3:1993212

J. M. Hill, D. G. Royce, C. S. Fadley, L. F. Wagner, and F. J. Grunthaner, Properties of oxidized silicon as determined by angular-dependent X-ray photoelectronspectroscopy, ChemPhys. Lett, vol.44, p.225, 1976.

G. S. Oehrlein, J. F. Rembetski, and E. H. Payne, Study of sidewall passivation and microscopic silicon roughness phenomena in chlorine-based reactive ion etching of silicon trenches, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.8, issue.6, p.1199, 1990.
DOI : 10.1116/1.584896

S. Tanuma, C. J. Powell, and S. Pen, Calculations of electron inelastic mean free paths. III. Data for 15 inorganic compounds over the 50-2000 eV range, Surface and Interface Analysis, vol.44, issue.13, p.927, 1991.
DOI : 10.1002/sia.740171305