J. C. Alonso, X. M. Díaz-bucio, L. Pichardo, E. Fernández, and A. Ortiz, On the contributions of the electronic polarizability and porosity to the reduction of the refractive index of SiOF films deposited by remote plasma-enhanced chemical vapor deposition, Thin Solid Films, vol.474, issue.1-2, pp.294-300, 2005.
DOI : 10.1016/j.tsf.2004.08.078

V. Arnal, J. Torres, J. Reynard, P. Gayet, C. Vérove et al., Optimization of CVD dielectric process to achieve reliable ultra low-k air gaps, Microelectronic Engineering, vol.60, issue.1-2, pp.143-148, 2002.
DOI : 10.1016/S0167-9317(01)00590-1

L. Arnaud, T. Berger, and G. Reimbold, Evidence of grain-boundary versus interface diffusion in electromigration experiments in copper damascene interconnects, Journal of Applied Physics, vol.93, issue.1, pp.192-204, 2003.
DOI : 10.1063/1.1527711

R. Bachelot, Nanophotolithographie en champ proche, 2007.

]. F. Bai08 and . Bailly, Limites imposées par les procédés de gravure plasma lors de la réalisation d'une structure double damascène : rugosité en fond de ligne des diélectriques poreux et intégration des barrières auto-positionnées, Thèse de doctorat, 2008.

M. R. Baklanov and K. P. Mogilnikov, Non-destructive characterisation of porous low-k dielectric films, Microelectronic Engineering, vol.64, issue.1-4, pp.335-349, 2002.
DOI : 10.1016/S0167-9317(02)00807-9

M. R. Baklanov and K. Maex, Porous low dielectric constant materials for microelectronics, Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, vol.87, issue.2, pp.201-215, 1838.
DOI : 10.1021/la035384w

]. F. Ber03a, J. P. Bernoux, B. Piel, C. Castellon, J. H. Defranoux et al., Instrumentation et applications, 2003.

W. F. Besling, V. Arnal, J. R. Guillaumond, C. Guedj, M. Broekaart et al., Integration of ALD TaN barriers in porous low-k interconnect for the 45 nm node and beyond; solution to relax electron scattering effect, IEDM Technical Digest. IEEE International Electron Devices Meeting, 2004., pp.325-328, 2004.
DOI : 10.1109/IEDM.2004.1419146

]. G. Bin86, C. F. Binnig, C. Quate, and . Gerber, Atomic Force Microscope, Phys. Rev. Lett, vol.56, issue.9, pp.930-933, 1986.

J. R. Black, Electromigration failure modes in aluminum metallization for semiconductor devices, Proceedings of the IEEE, pp.1587-1594, 1969.
DOI : 10.1109/PROC.1969.7340

]. K. Bog00, F. P. Bogart, M. V. Klemens, J. I. Malyshev, V. M. Colonell et al., Mask charging and profile evolution during chlorine plasma etching of silicon, J. Vac. Sci. Technol. A, vol.18, issue.1, pp.197-206, 2000.

R. Bouyssou, Traitements plasmas post gravure pour l'intégration des matériaux SiOCH poreux dans les interconnexions en microélectronique, Thèse de doctorat, 2009.

]. A. Bra04 and . Braun, Dielectric etch faces material, process choices, Semicond. Int, issue.6, 2004.

]. D. Bri83, M. P. Briggs, and . Seah, Practical surface analysis by Auger and X-ray photoelectron spectroscopy, 1983.

G. Brunoldi, K. J. Kozaczek, B. Gittleman, and T. Marangon, Impact of narrow trench geometries on copper film crystallography, Microelectronic Engineering, vol.83, issue.11-12, pp.11-12, 2006.
DOI : 10.1016/j.mee.2006.10.005

J. M. Calvert and M. K. Gallagher, A new approach to ultralow-k dielectrics, Semicond . Inter, vol.26, issue.12, pp.56-60, 2003.

]. T. Cha02, Y. S. Chang, P. T. Mor, T. M. Liu, C. W. Tsai et al., Recovering dielectric loss of low dielectric constant organic siloxane during the photoresist removal process, J. Electrochem. Soc, vol.149, issue.8, pp.81-84, 2002.

]. L. Cha06, J. Chapelon, D. Vitiello, J. Neira, J. C. Torres et al., Measuring the Young's modulus of ultralowk materials with the non destructive picosecond ultrasonic method, Microelectron. Eng, vol.83, pp.11-12, 2006.

H. Chaabouni, L. L. Chapelon, M. Aimadeddine, J. Vitiello, A. Farcy et al., Sidewall restoration of porous ultra low-k dielectrics for sub-45nm technology nodes, Microelectronic Engineering, vol.84, issue.11, pp.2595-2599, 2007.
DOI : 10.1016/j.mee.2007.05.029

H. Chaabouni, G. Imbert, L. L. Chapelon, D. Fossati, C. Licitra et al., Dielectric recoveries on direct CMP damaged SiOC:H ultra low k materials, Advanced Metallization Conference (AMC), 2008.

C. Chen, Y. Fang, C. Lin, C. Yang, and J. Hsieh, A novel multi-level interconnect scheme with air as low K inter-metal dielectric for ultradeep submicron application, Solid-State Electronics, vol.45, issue.1, pp.199-203, 2001.
DOI : 10.1016/S0038-1101(00)00192-1

]. T. Che08b, T. Chevolleau, N. David, M. Possémé, F. Darnon et al., Plasma challenges of porous SiOCH patterning for advanced interconnect technological nodes, AVS), 2008.

]. S. Chh06 and . Chhun, Étude des propriétés et de l'intégration de barrières auto-positionnées sur cuivre formées par des procédés de siliciuration et de dépôts auto-catalytiques dans les interconnexions des circuits intégrés microélectroniques des générations 45 nm, Thèse de doctorat, Institut national des sciences appliquées de Lyon, 2006.

]. Cho07 and . Choi, Novel spin-on hard mask with Si-containing bottom antireflective coating for nanolithography, J. Vac. Sci. Technol. B, vol.25, issue.3, pp.868-872, 2007.

]. J. Cob79a, H. F. Coburn, and . Winters, Ion-and electron-assisted gas-surface chemistry? An important effect in plasma etching, J. Appl. Phys, vol.50, issue.5, pp.3189-3196, 1979.

J. W. Coburn and H. F. Winters, Plasma etching???A discussion of mechanisms, Journal of Vacuum Science and Technology, vol.16, issue.2, pp.391-403, 1979.
DOI : 10.1116/1.569958

P. Czuprynski and O. Joubert, X-ray photoelectron spectroscopy analyses of silicon dioxide contact holes etched in a magnetically enhanced reactive ion etching reactor, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.16, issue.3, pp.1051-1058, 1998.
DOI : 10.1116/1.590008

A. , R. Agostino, F. Fracassi, and C. Pacifico, Dry etching of Ti in chlorine containing feeds, J. Appl. Phys, vol.72, issue.9, pp.4351-4357, 1992.

R. Daamen, P. H. Bancken, V. H. Nguyen, A. Humbert, G. J. Verheijden et al., The evolution of multi-level air gap integration towards 32 nm node interconnects, Microelectronic Engineering, vol.84, issue.9-10, pp.2177-2183, 2007.
DOI : 10.1016/j.mee.2007.04.119

]. T. Dal93, J. C. Dalton, H. H. Arnold, S. Sawin, D. Swan et al., Microtrench formation in polysilicon plasma etching over thin gate oxide, J. Electrochem. Soc, vol.140, issue.8, pp.2395-2401, 1993.

M. Dalibart and L. Servant, Spectroscopie dans l'infrarouge. Techniques de l'ingénieur. Analyse et caractérisation, p.2845, 2000.

M. Darnon, T. Chevolleau, D. Eon, L. Vallier, J. Torres et al., Etching characteristics of TiN used as hard mask in dielectric etch process, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.5, pp.2262-2270, 2006.
DOI : 10.1116/1.2338048

URL : https://hal.archives-ouvertes.fr/hal-00397064

M. Darnon, Les procédés par plasmas impliqués dans l'intégration des matériaux SiOCH poreux pour les interconnexions en microélectronique, Thèse de doctorat, 2007.

]. M. Dar07b, T. Darnon, O. Chevolleau, S. Joubert, J. C. Maitrejean et al., Undulation of sub-100 nm porous dielectric structures: A mechanical analysis

K. M. Davis and M. Tomozawa, An infrared spectroscopic study of water-related species in silica glasses, Journal of Non-Crystalline Solids, vol.201, issue.3, pp.177-198, 1996.
DOI : 10.1016/0022-3093(95)00631-1

]. T. Dav06, D. David, M. Perret, D. Darnon, F. Eon et al., Low-k patterning using a tri-layer resist approach, Material for Advanced Metallization (MAM), 2006.

F. [. Delmas-bendhia, E. Caignet, M. Sicard, and . Roca, On-chip sampling in CMOS integrated circuits, IEEE Transactions on Electromagnetic Compatibility, vol.41, issue.4, pp.403-406, 1999.
DOI : 10.1109/15.809837

]. T. Dec07 and . Decorps, Barrières métalliques auto-alignées déposées par voie electroless pour la fabrication des interconnexions au noeud 32 nm : étude électrochimique, propriétés physico-chimiques et enjeux technologiques, Thèse de doctorat, 2007.

]. X. Det03, R. Detter, I. Palla, E. Thomas-boutherin, G. Pargon et al., Impact of chemistry on profile control of resist masked silicon gates etched in high density halogen-based plasmas, J. Vac. Sci. Technol. B, vol.21, issue.5, pp.2174-2183, 2003.

]. T. Duc98a and . Duc, Analyse de surface par ESCA. Analyse élémentaire et applications, 1998.

]. T. Duc98b and . Duc, Analyse de surface par ESCA. Principe et instrumentation, 1998.

D. Eon, M. Darnon, T. Chevolleau, T. David, L. Vallier et al., Etch mechanisms of hybrid low-k material (SiOCH with porogen) in fluorocarbon based plasma, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.25, issue.3, pp.715-720, 2007.
DOI : 10.1116/1.2723756

URL : https://hal.archives-ouvertes.fr/hal-00397077

P. Ercius, L. M. Gignac, C. K. Hu, and D. A. Muller, Three-Dimensional Measurement of Line Edge Roughness in Copper Wires Using Electron Tomography, Microscopy and Microanalysis, vol.11, issue.03, pp.244-250, 2009.
DOI : 10.1103/PhysRevLett.84.518

A. Fabre, J. Foucher, M. Poulingue, P. Fabre, and G. Sundaram, Landing energy influence on CD-SEM measurement precision and accuracy, Metrology, Inspection, and Process Control for Microlithography XX, p.61524, 2006.
DOI : 10.1117/12.660267

M. Fayolle, J. Torres, G. Passemard, F. Fusalba, G. Fanget et al., SiOC in Cu dual damascene interconnect for 0.1-µmtechnology, Integration of Cu Microelectron. Eng, vol.6414, issue.02, pp.35-42, 2002.

R. Fournié and R. Coelho, Diélectriques : Bases théoriques, p.2300, 2000.

]. D. Fua01a, O. Fuard, L. Joubert, M. Vallier, P. Assous et al., Etch mechanisms of low dielectric constant polymers in high density plasmas: Impact of charging effects on profile distortion during the etching process, J. Vac. Sci. Technol . B, vol.19, issue.6, pp.2223-2230, 2001.

D. Fuard, O. Joubert, L. Vallier, and M. Bonvalot, High density plasma etching of low k dielectric polymers in oxygen-based chemistries, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.19, issue.2, pp.447-455, 2001.
DOI : 10.1116/1.1358856

URL : https://hal.archives-ouvertes.fr/hal-00285355

]. F. Gai06, J. Gaillard, L. G. De-pontcharra, P. Gosset, D. Lyan et al., Chemical etching solutions for air gap formation using a sacrificial oxide/polymer approach, Microelectron. Eng, vol.83, pp.11-12, 2006.

]. S. Gal08, C. Gall, S. Euvrard, M. Petitdidier, and . Rivoire, Investigation of copper CMP induced porous low-k film degradation for 45 nm technology and beyond, International conference on Chemical-Mechanical Polish, pp.CMP-MIC, 2008.

]. C. Ger07 and . Gerbelot, Caractérisation des modifications des diélectriques après les procédés plasma (gravure et traitement), 2007.

K. P. Giapis, G. S. Hwang, and O. Joubert, The role of mask charging in profile evolution and gate oxide degradation, Microelectronic Engineering, vol.61, issue.62, pp.61-62, 2002.
DOI : 10.1016/S0167-9317(02)00459-8

URL : https://hal.archives-ouvertes.fr/hal-00494490

]. D. Gol04, A. P. Goldfarb, G. M. Mahorowala, K. E. Gallatin, K. Petrillo et al., Effect of thinfilm imaging on line edge roughness transfer to underlayers during etch processes, J. Vac. Sci. Technol. B, vol.22, p.647, 2004.

]. L. Gos03, V. Gosset, P. Arnal, M. Brun, C. Broekaart et al., Integration of SiOC air gaps in copper interconnects, Microelectron. Eng, vol.70, issue.203, pp.274-279, 2003.

]. L. Gos05, A. Gosset, J. Farcy, P. De-pontcharra, R. Lyan et al., Advanced Cu interconnects using air gaps, Microelectron. Eng, vol.8234, pp.321-332, 2005.

]. A. Gou00, C. Goullet, A. Vallée, G. Granier, and . Turban, Optical spectroscopic analyses of OH incorporation into SiO 2 films deposited from O 2 /tetraethoxysilane plasmas, J. Vac. Sci. Technol. A, vol.18, issue.5, pp.2452-2458, 2000.

O. Gourhant, V. Jousseaume, L. Favennec, A. Zenasni, P. Maury et al., Extendibility of the PECVD Porogen Approach for ULK Materials, Materials Research Society Symposium Proceedings, pp.45-50, 2007.
DOI : 10.1016/j.tsf.2005.08.291

URL : https://hal.archives-ouvertes.fr/hal-00463077

]. O. Gou08 and . Gourhant, Élaboration et caractérisation de matériaux à très faible constante diélectrique de type a?SiOCH élaborés par PECVD : application aux interconnexions des circuits intégrés, Thèse de doctorat, 2008.

]. R. Gra09 and . Gras, Intégration de cavités d'air et de barrières auto-positionnées dans des architectures alternatives d'interconnexions en microélectronique, Thèse de doctorat, 2009.

]. A. Gri99, V. Grill, and . Patel, Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from tetramethylsilane, J. Appl. Phys, vol.85, issue.6, pp.3314-3318, 1999.

]. A. Gri03, D. A. Grill, and . Neumayer, Structure of low dielectric constant to extreme low dielectric constant SiCOH films: Fourier transform infrared spectroscopy characterization, J. Appl. Phys, vol.94, issue.10, pp.6697-6707, 2003.

J. P. Gueneau-de-mussy, O. Richard, G. Beyer, and K. Maex, Selective Sidewall Airgap Integration for Deep Submicrometer Interconnects, Electrochemical and Solid-State Letters, vol.7, issue.11, pp.286-289, 2004.
DOI : 10.1149/1.1808094

L. M. Han, J. Pan, S. Chen, N. Balasubramanian, J. Shi et al., Characterization of Carbon-Doped SiO[sub 2] Low k Thin Films: Preparation by Plasma-Enhanced Chemical Vapor Deposition from Tetramethylsilane, Journal of The Electrochemical Society, vol.148, issue.7, pp.148-153, 2001.
DOI : 10.1149/1.1375797

M. A. Hartney, D. W. Hess, and D. S. Soane, Oxygen plasma etching for resist stripping and multilayer lithography, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.7, issue.1, pp.1-13, 1989.
DOI : 10.1116/1.584440

]. B. Hat06, K. Hatton, W. J. Landskron, M. R. Hunks, D. Bennett et al., Materials chemistry for low-k materials, Mater. Today, vol.9, issue.306, pp.22-31, 2006.

]. R. Hoo05, G. J. Hoofman, J. Verheijden, F. Michelon, Y. Iacopi et al., Challenges in the implementation of low-k dielectrics in the back-end of line, Microelectron. Eng, vol.80, pp.337-344, 2005.

]. R. Hoo06, R. Hoofman, J. Caluwaerts, P. H. Michelon, J. P. Bernabé et al., Self-aligned multi-level air gap integration, Microelectron. Eng, vol.83, pp.11-12, 2006.

]. B. How91, C. Howard, and . Steinbrüchel, Reactive ion etching of copper in SiCl 4 -based plasmas, Appl. Phys. Lett, vol.59, issue.8, pp.914-916, 1991.

C. S. Hau-riege, An introduction to Cu electromigration, Microelectronics Reliability, vol.44, issue.2, pp.195-205, 2004.
DOI : 10.1016/j.microrel.2003.10.020

]. Y. Hsu06, Y. K. Hsu, Y. T. Fang, S. F. Chiang, C. Y. Chen et al., Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection, Journal of The Electrochemical Society, vol.153, issue.8, pp.782-786, 2006.
DOI : 10.1149/1.2209588

]. R. Hul99 and . Hull, Properties of crystalline silicon (Institution of Electrical Engineers, 1999.

]. C. Jan00, S. Janowiak, I. Ellingboe, and . Morey, Etching of organic low dielectric constant material SiLK? on the Lam Research Corporation 4520XLE?, J. Vac. Sci. Technol. A, vol.18, issue.4, pp.1859-1863, 2000.

V. Jousseaume, M. Assous, A. Zenasni, S. Maitrejean, B. Remiat et al., Cu/ULK (k=2.0) integration for 45 nm node and below using an improved hybrid material with conventional BEOL processing and a late porogen removal, Proceedings of the IEEE 2005 International Interconnect Technology Conference, 2005., pp.60-62, 2005.
DOI : 10.1109/IITC.2005.1499923

]. V. Jou07, L. Jousseaume, A. Favennec, O. Zenasni, and . Gourhant, Porous ultra low k deposited by PECVD: From deposition to material properties, Surf. Coat. Technol, vol.201, pp.22-23, 2007.

V. Jousseaume, G. Rolland, D. Babonneau, and J. P. Simon, Influence of polymer porogens on the porosity and mechanical properties of spin coated Ultra Low k dielectrics, Thin Solid Films, vol.517, issue.15, pp.4413-4418, 2009.
DOI : 10.1016/j.tsf.2009.02.084

URL : https://hal.archives-ouvertes.fr/hal-00437942

H. Kampé, O. Hubert, D. David, and P. Martin, Extraction d'inductances parasites et re-simulation pour circuits intégrés RF, MajeSTIC, pp.87-94, 2007.

M. Kimizuka and K. Hirata, Pattern profile control of polysilicon plasma etching, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.3, issue.1, pp.16-19, 1985.
DOI : 10.1116/1.583203

Y. Kim, S. Lee, and H. J. Kim, Si???O???C???H composite films prepared by plasma-enhanced chemical vapor deposition using bis-trimethylsilylmethane precursor, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.18, issue.4, pp.1216-1219, 2000.
DOI : 10.1116/1.582328

]. P. Koh00, D. M. Kohl, M. Bhusari, C. Wedlake, F. P. Case et al., Air-gaps in 0.3 µmelectrical interconnections, IEEE Electron Device Lett, vol.21, issue.12, pp.557-559, 2000.

R. Kumar, T. K. Wong, B. R. Murthy, Y. H. Wang, and N. Balasubramanian, Effects of Plasma Treatments on Ultralow-k Dielectric Film and Ta Barrier Properties in Cu Damascene Processing, Journal of The Electrochemical Society, vol.153, issue.5, pp.420-427, 2006.
DOI : 10.1149/1.2180707

M. W. Lane, E. G. Liniger, and J. R. Lloyd, Relationship between interfacial adhesion and electromigration in Cu metallization, Journal of Applied Physics, vol.93, issue.3, pp.1417-1421, 2003.
DOI : 10.1063/1.1532942

]. Q. Le05, M. R. Le, E. Baklanov, A. Kesters, H. Azioune et al., Removal of plasma-modified low-k layer using dilute HF: influence of concentration, Electrochem. Solid-State Lett, vol.8, issue.7, pp.21-24, 2005.

]. Lee97, S. Lee, C. Chun, W. Hwang, and . Lee, Reactive ion etching mechanism of copper film in chlorine-based electron cyclotron resonance plasma, Jpn. J. Appl. Phys, vol.36, issue.1A, pp.50-55, 1997.

]. H. Leh80, R. Lehmann, and . Widmer, Dry etching for pattern transfer, J. Vac. Sci. Technol, vol.17, issue.5, pp.1177-1183, 1980.

L. H. Leunissen, W. Zhang, W. Wu, and S. H. Brongersma, Impact of line edge roughness on copper interconnects, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.4, pp.1859-1862, 2006.
DOI : 10.1116/1.2217974

[. Friec, W. Ye, V. Zubkov, G. Conti, M. Y. Shek et al., Electro-migration improvement for 40nm and below through diffusion barrier interface engineering, Advanced Metallization Conference (AMC), 2009.

]. C. Lic08, F. Licitra, M. Bertin, T. Darnon, C. Chevolleau et al., Evaluation of ellipsometric porosimetry for inline characterization of ultra low-k dielectrics, Phys. Status Solidi C, vol.5, issue.5, pp.1278-1288, 2008.

M. A. Lieberman and A. J. Lichtenberg, Principles of plasma discharges and materials processing, 2005.
DOI : 10.1002/0471724254

M. Lin, C. Y. Chang, T. Y. Huang, and M. Lin, A Multilevel Interconnect Technology with Intrametal Air Gap for High-Performance 0.25-??m-and-Beyond Devices Manufacturing, Japanese Journal of Applied Physics, vol.38, issue.Part 1, No. 11, pp.6240-6246, 1999.
DOI : 10.1143/JJAP.38.6240

J. Liu, W. Kim, J. Bao, H. Shi, W. Baek et al., Restoration and pore sealing of plasma damaged porous organosilicate low k dielectrics with phenyl containing agents, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.25, issue.3, pp.906-912, 2007.
DOI : 10.1116/1.2738489

]. O. Lou04, C. Louveau, A. Bourlot, I. Marfoure, J. Kalinovski et al., Dry ashing process evaluation for porous ULK films, Microelectron. Eng, vol.73, issue.74, pp.351-356, 2004.

]. O. Luè09 and . Luère, Analyse des différentes stratégies de procédés de gravure grille métal ? high k pour les noeuds technologiques 45 nm et 32 nm, Thèse de doctorat, 2009.

]. K. Mae03, M. R. Maex, D. Baklanov, S. H. Shamiryan, Z. S. Brongersma et al., Low dielectric constant materials for microelectronics, J. Appl. Phys, vol.93, pp.8793-8841, 2003.

]. G. Mai04a and . Maier, The search for low-? and ultra-low-? dielectrics: how far can you get with polymers? Part 1: Background, IEEE Electr. Insul. Mag, vol.20, issue.2, pp.6-17, 2004.

]. G. Mai04b and . Maier, The search for low-? and ultra-low-? dielectrics: how far can you get with polymers? Part 2: materials, structures, properties, IEEE Electr. Insul. Mag, vol.20, issue.3, pp.6-24, 2004.

S. Maîtrejean, R. Gers, T. Mourier, A. Toffoli, and G. Passemard, Experimental measurements of electron scattering parameters in Cu narrow lines, Microelectronic Engineering, vol.83, issue.11-12, pp.11-12, 2006.
DOI : 10.1016/j.mee.2006.10.044

Y. Martin and H. K. Wickramasinghe, Method for imaging sidewalls by atomic force microscopy, Applied Physics Letters, vol.64, issue.19, pp.2498-2500, 1994.
DOI : 10.1063/1.111578

G. E. Moore, Cramming More Components Onto Integrated Circuits, Proceedings of the IEEE, vol.86, issue.1, 1965.
DOI : 10.1109/JPROC.1998.658762

J. F. Moulder, W. F. Stickle, P. E. Sobol, and K. D. Bomben, Handbook of X-ray photoelectron spectroscopy, 1992.

]. S. Mur93, J. Murarka, R. J. Steigerwald, and . Gutmann, Inlaid copper multilevel interconnections using planarization by chemical-mechanical polishing, MRS Bull, vol.18, issue.6, pp.46-51, 1993.

]. R. Mur05, Y. W. Murthy, A. Chen, X. T. Krishnamoorthy, and . Chen, SiLK? etch optimization and electrical characterization for 0.13 µm interconnects, Microelectron. Reliab, vol.45, pp.3-4, 2005.

H. Nagai, Y. Maeda, M. Hiramatsu, M. Hori, and T. Goto, Effects of Oxygen and Nitrogen Atoms on SiOCH Film Etching in Ultrahigh-Frequency Plasma, Japanese Journal of Applied Physics, vol.42, issue.Part 2, No. 3B, pp.326-328, 2003.
DOI : 10.1143/JJAP.42.L326

J. Noguchi, Dominant Factors in TDDB Degradation of Cu Interconnects, IEEE Transactions on Electron Devices, vol.52, issue.8, pp.1743-1750, 2005.
DOI : 10.1109/TED.2005.851849

G. S. Oehrlein, Y. Zhang, D. Vender, and M. Haverlag, Fluorocarbon high-density plasmas. I. Fluorocarbon film deposition and etching using CF 4 and CHF 3, J

T. Panagopoulos and D. J. Economou, Plasma sheath model and ion energy distribution for all radio frequencies, Journal of Applied Physics, vol.85, issue.7, pp.3435-3443, 1999.
DOI : 10.1063/1.369701

H. Paqueton and J. Ruste, Microscopie électronique à balayage : principe et équipement, 2006.

E. Pargon, Analyse des mécanismes mis en jeu lors de l'élaboration par gravure plasma de structures de dimensions déca-nanométriques : Application au transistor CMOS ultime, Thèse de doctorat, 2004.

]. E. Par08, M. Pargon, J. Martin, O. Thiault, J. Joubert et al., Linewidth roughness transfer measured by critical dimension atomic force microscopy during plasma patterning of polysilicon gate transistors, J. Vac. Sci. Technol. B, vol.26, issue.3, pp.1011-1020, 2008.

E. Pargon, M. Martin, K. Menguelti, L. Azarnouche, J. Foucher et al., Plasma impact on 193 nm photoresist linewidth roughness: Role of plasma vacuum ultraviolet light, Applied Physics Letters, vol.94, issue.10, pp.103-111, 2009.
DOI : 10.1063/1.3094128

URL : https://hal.archives-ouvertes.fr/hal-00397113

]. G. Pas97, P. Passemard, P. Fugier, F. Noel, O. Pires et al., Study of fluorine stability in fluoro-silicate glass and effects on dielectric properties, Microelectron. Eng, vol.33, issue.96, pp.1-4, 1997.

]. K. Pfe06, E. R. Pfeifer, M. K. Engbrecht, and . Gallagher, Late-porogen removal integration for ultra low-k e f f IMDs, Solid State Technol, vol.49, issue.6, p.59, 2006.

N. Possémé, T. Chevolleau, O. Joubert, L. Vallier, and P. Mangiagalli, Etching mechanisms of low-k SiOCH and selectivity to SiCH and SiO[sub 2] in fluorocarbon based plasmas, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.6, pp.2432-2440, 2003.
DOI : 10.1116/1.1627337

]. N. Pos04a, T. Possémé, T. David, L. Chevolleau, P. Vallier et al., New ash challenges for porous low-k integration: trade-off between sidewall film modification and increase in k value, Proceedings of the International Symposium on Dry Process (DPS), pp.217-222, 2004.

]. N. Pos04b, T. Possémé, O. Chevolleau, L. Joubert, N. Vallier et al., Etching of porous SiOCH materials in fluorocarbon-based plasmas, J. Vac. Sci. Technol. B, vol.22, issue.6, pp.2772-2784, 2004.

]. N. Pos05a, T. Possémé, T. David, O. Chevolleau, and . Joubert, A novel low-damage methane-based plasma ash chemistry (CH 4 /Ar): limiting metal barrier diffusion into porous low-k materials, Electrochem. Solid-State Lett, vol.8, issue.5, pp.112-114, 2005.

]. N. Pos05b and . Possémé, Étude de l'intégration des matériaux à très faible permittivité diélectrique dans une structure damascène pour les filières technologiques CMOS, Thèse de doctorat, 2005.

]. N. Pos08, T. Possémé, T. Chevolleau, M. David, J. P. Darnon et al., Efficiency of reducing and oxidizing ash plasmas in preventing metallic barrier diffusion into porous SiOCH, Microelectron . Eng, vol.85, issue.8, pp.1842-1849, 2008.

]. N. Pos09, R. Possémé, T. Bouyssou, T. Chevolleau, V. David et al., In situ post etching treatment as a solution to improve defect density for porous low-k integration using metallic hard masks, IEEE International Interconnect Technology Conference (IITC), 2009.

]. D. Réb08, N. Rébiscoul, L. Lopez, D. Broussous, G. Louis et al., Impact of organic acid and gas bubbling on copper and copper oxide etch-rates in diluted HF solution. Solid State Phenom, p.333, 2008.

]. P. Rev05, D. Revol, F. Perret, F. Bertin, V. Fusalba et al., Porosimetry measurements on low dielectric constant?thin layers by coupling spectroscopic ellipsometry and solvent adsorption-desorption, J. Porous Mater, vol.12, issue.2, pp.113-121, 2005.

]. N. Roc00, M. Rochat, A. Olivier, F. Chabli, G. Conne et al., Multiple internal reflection infrared spectroscopy using two-prism coupling geometry: A convenient way for quantitative study of organic contamination on silicon wafers

]. N. Rue97, J. J. Rueger, M. Beulens, M. F. Schaepkens, J. M. Doemling et al., Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF 3 in an inductively coupled plasma reactor, J. Vac. Sci. Technol. A, vol.15, issue.4, pp.1881-1889, 1997.

[. Cherif, Matériaux à faible permittivité en interaction avec une solution : caractérisation par spectroscopie d'impédance et fonctionnalisation de surface

]. I. Sak07, J. Sakai, H. Abe, Y. Hayashi, H. Taniguchi et al., Sub-55 nm etch process using stacked-mask process, Jpn. J. Appl. Phys, vol.46, issue.7A, pp.4286-4288, 2007.

]. G. Sch83, P. M. Schwartz, and . Schaible, Reactive Ion Etching of Copper Films, J. Electrochem . Soc, vol.130, issue.8, pp.1777-1779, 1983.

J. H. Scofield, Hartree-Slater subshell photoionization cross-sections at 1254 and 1487 eV, Journal of Electron Spectroscopy and Related Phenomena, vol.8, issue.2, pp.129-137, 1976.
DOI : 10.1016/0368-2048(76)80015-1

Y. Shacham-diamand, A. Dedhia, D. Hoffstetter, and W. G. Oldham, Copper Transport in Thermal SiO[sub 2], Journal of The Electrochemical Society, vol.140, issue.8, pp.2427-2432, 1993.
DOI : 10.1149/1.2220837

]. Y. Sei08, K. Seino, K. Kobayashi, H. Sho, S. Kato et al., Sub-45nm resist process using stacked-mask process, Advances in Resist Materials and Processing Technology XXV (SPIE), p.69232, 2008.

D. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch, and K. Maex, Comparative study of SiOCH low-k films with varied porosity interacting with etching and cleaning plasma, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.20, issue.5, pp.1923-1928, 2002.
DOI : 10.1116/1.1502699

]. D. Sha04, T. Shamiryan, F. Abell, K. Iacopi, and . Maex, Low-k dielectric materials, Mater. Today, vol.7, issue.104, pp.34-39, 2004.

T. Skotniki, Transistor MOS et sa technologie de fabrication, 2000.

]. E. Sod09, N. Soda, S. Oda, S. Ito, S. Kondo et al., Reduction effect of line edge roughness on time-dependent dielectric breakdown lifetime of Cu/low-k interconnects by using CF 3 I etching, J. Vac. Sci. Technol. B, vol.27, issue.2, pp.649-653, 2009.

J. E. Spencer, R. A. Borel, and A. Hoff, High Rate Photoresist Stripping in an Oxygen Afterglow, Journal of The Electrochemical Society, vol.133, issue.9, pp.1922-1925, 1986.
DOI : 10.1149/1.2109050

L. Shon-roy, A. Wiesnoski, and R. Zorich, Advanced Semiconductor Fabrication Handbook. Integrated Circuit Engineering Corporation, 1998.

]. T. Sta99, P. J. Standaert, S. D. Matsuo, G. S. Allen, T. J. Oehrlein et al., Patterning of fluorine-, hydrogen-, and carbon-containing SiO 2 -like low dielectric constant materials in high-density fluorocarbon plasmas: comparison with SiO 2, J. Vac. Sci. Technol. A, vol.17, issue.3, pp.741-748, 1999.

W. Steinhögl, G. Schindler, G. Steinlesberger, M. Traving, and M. Engelhardt, Impact of line edge roughness on the resistivity of nanometer-scale interconnects, Microelectronic Engineering, vol.76, issue.1-4, pp.1-4, 2004.
DOI : 10.1016/j.mee.2004.07.005

W. Steinhöegl, G. Schindler, and M. Engelhardt, Unraveling the mysteries behind size effects in metallization systems, Semiconductor International, vol.5, issue.1, 2005.

]. A. Sti05, Z. Stich, W. Gabric, and . Pamler, Potential of air gap technology by selective ozone/TEOS deposition: Effects of air gap geometry on the dielectric constant, Microelectron . Eng, vol.82, pp.3-4, 2005.

M. Stucchi, M. Bamal, and K. Maex, Impact of line-edge roughness on resistance and capacitance of scaled interconnects, Microelectronic Engineering, vol.84, issue.11, pp.2733-2737, 2007.
DOI : 10.1016/j.mee.2007.05.038

T. N. Theis, The future of interconnection technology, IBM Journal of Research and Development, vol.44, issue.3, pp.379-390, 2000.
DOI : 10.1147/rd.443.0379

]. J. Thi05, J. Thiault, J. H. Foucher, O. Tortai, S. Joubert et al., Line edge roughness characterization with a three-dimensional atomic force microscope: Transfer during gate patterning processes, J. Vac. Sci. Technol. A, vol.23, issue.6, pp.3075-3079, 2005.

J. Thiault, Étude par microscopie à force atomique en trois dimensions de l'évolution de la rugosité de bord de ligne lors de la fabrication d'une grille de transistor MOS, Thèse de doctorat, 2007.

]. V. Tol03, I. V. Tolstoy, V. A. Chernyshova, and . Skryshevsky, Handbook of infrared spectroscopy of ultrathin films, 2003.

J. Tonotani, T. Iwamoto, F. Sato, K. Hattori, S. Ohmi et al., Dry etching characteristics of TiN film using Ar/CHF[sub 3], Ar/Cl[sub 2], and Ar/BCl[sub 3] gas chemistries in an inductively coupled plasma, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.21, issue.5, p.2163, 2003.
DOI : 10.1116/1.1612517

H. Treichel, G. Ruhl, P. Ansmann, R. Würl, C. Müller et al., Low dielectric constant materials for interlayer dielectric, Microelectronic Engineering, vol.40, issue.1, pp.1-19, 1998.
DOI : 10.1016/S0167-9317(97)00185-8

M. Vilmay, D. Roy, C. Monget, F. Volpi, and J. M. Chaix, Copper-line topology impact on the reliability of SiOCH low-k for the 45 nm technology node and beyond, IEEE Trans. Device Mater. Reliab, vol.9, issue.2, 2009.
URL : https://hal.archives-ouvertes.fr/hal-00456222

M. Vilmay, Fiabilité des diélectriques intermétalliques à faible permittivité pour les technologies avancées de la microélectronique, Thèse de doctorat, 2010.

]. N. Yam00a, T. Yamada, and . Takahashi, Methylsiloxane spin-on-glass films for low dielectric constant interlayer dielectrics, J. Electrochem. Soc, vol.147, issue.4, pp.1477-1480, 2000.

]. K. Yam00b, S. Yamashita, and . Odanaka, Interconnect scaling scenario using a chip level interconnect model, IEEE Trans. Electron Devices, vol.47, issue.1, pp.90-96, 2000.

Y. Yin, S. Rasgon, and H. H. Sawin, Investigation of surface roughening of low-k films during etching using fluorocarbon plasma beams, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.24, issue.5, pp.2360-2371, 2006.
DOI : 10.1116/1.2338044

]. Y. Yin09, J. C. Yin, K. Arnold, C. Zin, Y. Chu et al., Materials interactions as a challenge for BEOL RIE at 22 nm node and beyond, AVS), 2009.

]. A. Zen03, P. Zenasni, S. Raynaud, S. Sahli, Y. Rebiai et al., Investigation on the origin of dielectric constant evolution in films deposited from organosilicon molecules in microwave DECR plasma reactor, Surf. Coat. Technol, vol.174175, issue.03, pp.162-165, 2003.

A. Zenasni, V. Jousseaume, P. Holliger, L. Favennec, O. Gourhant et al., The role of ultraviolet radiation during ultralow k films curing: Strengthening mechanisms and sacrificial porogen removal, Journal of Applied Physics, vol.102, issue.9, pp.94-107, 2007.
DOI : 10.1063/1.2805451

URL : https://hal.archives-ouvertes.fr/hal-00463070

A. Zenasni, V. Jousseaume, O. Gourhant, L. Favennec, and P. Maury, Porosity generation using hydrogen plasma assisted thermal curing for ultra low k material, Microelectronic Engineering, vol.85, issue.10, pp.2102-2104, 2008.
DOI : 10.1016/j.mee.2008.04.044

URL : https://hal.archives-ouvertes.fr/hal-00466188

A. Zenasni, B. Remiat, C. Waldfried, C. Le-cornec, V. Jousseaume et al., Thermal furnace and Ultraviolet assisted curing impact on SiOCH spin-on ultra low dielectric constant materials, Thin Solid Films, vol.516, issue.6, pp.1097-1103, 2008.
DOI : 10.1016/j.tsf.2007.05.010

D. Zhang and M. J. Kushner, Investigations of surface reactions during C2F6 plasma etching of SiO2 with equipment and feature scale models, Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, vol.19, issue.2, pp.524-538, 2001.
DOI : 10.1116/1.1349728

?. J. Liste-des-publications, T. Ducoté, and . David, Intégration d'un matériau diélectrique hybride pour la fabrication d'interconnexions, Journées Nationales du Réseau Doctoral en Microélectronique (JNRDM), 2008.

?. J. Ducoté, T. David, N. Possémé, T. Chevolleau, S. Gall et al., Comparison between hybrid and porous dielectric material (SiOCH) integration strategies for interconnect technologies, 2008.

?. J. Ducoté, T. David, N. Possémé, T. Chevolleau, C. Vérove et al., Patterning of porous SiOCH : metallic and organic hard masks strategies, Workshop on Plasma Etch and Strip in Microelectronics (PESM), 2009.

?. J. Ducoté, T. David, N. Possémé, T. Chevolleau, C. Vérove et al., Patterning of porous SiOCH : metallic and organic hard masks strategies, Materials for Advanced Metallization (MAM), 2009.

?. J. Ducoté, T. David, N. Possémé, T. Chevolleau, F. Bailly et al., Wiggling of porous dielectric lines for advanced technological node : from simulation to experiment, International Symposium on Dry Process (DPS), 2009.

?. J. Ducoté, T. David, N. Possémé, T. Chevolleau, A. Ostrovsky et al., Profile distortion during advanced interconnects patterning : impact of masking strategy, 2009.

?. M. Darnon, T. Chevolleau, T. David, N. Possémé, J. Ducoté et al., Modifications of dielectric films induced by plasma ashing processes: Hybrid versus porous SiOCH materials, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol.26, issue.6, pp.1964-1970, 2008.
DOI : 10.1116/1.3006021

URL : https://hal.archives-ouvertes.fr/hal-00385675

]. Images-observées-au-meb-de-la-surface-de-matériaux-p-siochbai08, après 300 nm de gravure dans un environnement : (a) oxyde de silicium et (b) nitrure de titane, p.31

.. Schéma-de-principe-de-l-'afm, 66 2.15 (a) Illustration de la limitation de l'AFM classique pour imager une surface verticale ; (b) le CD-AFM permet, avec sa pointe évasée et un asservissement en x et z, d'imager le flanc d'une structure, p.67

M. Images-au, la résine photosensible après insolation, (b) du masque en TiN après gravure du BARC et du TiN et (c) du masque en TiN après stripping et nettoyage (images STMicroelectronics), p.75

M. Au, Empilement utilisé pour la gravure avec un masque organique et (b) vue en coupe des motifs définis dans la résine observée, p.82

S. Gravure, (b) 150 CF 4 / 10 O 2 / 800 W(27 MHz) ; (c) 150 CF 4, W(2 MHz)/ 800 W(27 MHz), pour un temps de gravure de 20 s, pp.150-154

M. Vues-au, (a) du phénomène de « wiggling » avec le masque en TiN et (b) du phénomène de « pattern collapse » avec le masque organique, p.104

C. Évolution-du and . Si, ARC et du CL en fonction du flux d'O 2 dans la chimie de gravure du Si-ARC : (a) variation du CD et (b) variation moyenne du CD entre la résine et le masque organique gravé, p.116

M. Spectres, du matériau hybride après gravure et plasma de posttraitement dans la région 2600?3200 cm ?1, p.152

M. Spectres, du matériau hybride après procédés plasma, attaque HF et retrait des porogènes dans la région 2600?3200 cm ?1, p.159

. Schéma, évolution de la surface du matériau hybride au cours des étapes de (a) gravure, (c) traitement HF et (e) retrait des porogènes (cas 1 : gravure FC ou FC + CH 4, p.161

M. Spectres, (a) des matériaux poreux avant et après CMP ; et (b) des matériaux hybrides avant et après CMP, et après retrait des porogènes, p.165

M. Images, une structure Métal 1 (a) après CMP et (b) après libération des porogènes (400 ? C, 12 min), p.168

I. Au and C. , de lignes de cuivre après retrait des porogènes, avec purge en hélium avant le procédé, p.168

T. Spectres, du matériau hybride avec différentes couches de protection , et après libération des porogènes (400 ? C, 5 min), p.170

C. Observations-au and .. De-lignes-de-cuivre-après-l, étape de retrait des porogènes sur une structure Métal 2 avec barrière auto-positonnée en CuSiN : (a) dans une zone dense et (a) pour une ligne de cuivre isolée ; dans ce cas une délamination apparaît au niveau de l'interface barrière métallique et diélectrique, p.171

.. Profilométrie-au-niveau-d, une ligne de cuivre de 100 µm avant et après libération des porogènes sur une structure Métal 2, p.172

C. Raie, 483 nm) issue de l'émission optique du plasma pendant la gravure du Si-ARC en chimie CF 4, p.206